Azadeh Davoodi, Ph.D.

Affiliations: 
2006 University of Maryland, College Park, College Park, MD 
Google:
"Azadeh Davoodi"

Parents

Sign in to add mentor
Ankur Srivastava grad student 2006 University of Maryland
 (Optimization schemes for variability -driven VLSI design automation.)
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Zeng W, Zhang B, Davoodi A. (2019) Analysis of Security of Split Manufacturing Using Machine Learning Ieee Transactions On Very Large Scale Integration Systems. 27: 2767-2780
Hemmat M, Davoodi A. (2019) Power-efficient ReRAM-aware CNN model generation Integration. 69: 369-380
Magana J, Shi D, Melchert J, et al. (2017) Are Proximity Attacks a Threat to the Security of Split Manufacturing of Integrated Circuits Ieee Transactions On Very Large Scale Integration Systems. 25: 3406-3419
Shi D, Tashjian E, Davoodi A. (2017) Dynamic Planning of Local Congestion From Varying-Size Vias for Global Routing Layer Assignment Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 36: 1301-1312
Davoodi A, Hu J, Ozdal M, et al. (2015) Guest Editorial: Special Section on Physical Design Techniques for Advanced Technology Nodes Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 34: 501
Li M, Davoodi A. (2014) A hybrid approach for fast and accurate trace signal selection for post-silicon debug Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 33: 1081-1094
Wu TH, Davoodi A, Linderoth JT. (2013) Power-driven global routing for multisupply voltage domains User Modeling and User-Adapted Interaction. 2013
Shojaei H, Basten T, Geilen M, et al. (2013) A fast and scalable multidimensional multiple-choice knapsack heuristic Acm Transactions On Design Automation of Electronic Systems. 18
Shojaei H, Davoodi A, Basten T. (2013) Collaborative multiobjective global routing Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 21: 1308-1321
Xie L, Davoodi A. (2012) Post-silicon failing-path isolation incorporating the effects of process variations Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 31: 1008-1018
See more...