Avinash K. Kodi

Affiliations: 
School of Electrical Engineering and Computer Science Ohio University, Athens, OH, United States 
Area:
Electronics and Electrical Engineering, Computer Engineering
Google:
"Avinash Kodi"
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Vanwinkle S, Kodi AK. (2018) SHARP: Shared Heterogeneous Architecture with Reconfigurable Photonic Network-on-Chip Acm Journal On Emerging Technologies in Computing Systems. 14: 25
Sefton S, Siddiqui T, Amour NS, et al. (2018) GARUDA: Designing Energy-Efficient Hardware Monitors From High-Level Policies for Secure Information Flow Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 37: 2509-2518
Boraten T, Kodi AK. (2018) Runtime Techniques to Mitigate Soft Errors in Network-on-Chip (NoC) Architectures Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 37: 682-695
Boraten T, Kodi A. (2018) Mitigation of Hardware Trojan based Denial-of-Service attack for secure NoCs Journal of Parallel and Distributed Computing. 111: 24-38
Wu J, Kodi AK, Kaya S, et al. (2017) Monopoles Loaded With 3-D-Printed Dielectrics for Future Wireless Intrachip Communications Ieee Transactions On Antennas and Propagation. 65: 6838-6846
Kennedy M, Kodi AK. (2017) Laser Pooling: Static and Dynamic Laser Power Allocation for On-Chip Optical Interconnects Journal of Lightwave Technology. 35: 3159-3167
Rayess W, Matolak DW, Kaya S, et al. (2017) Antennas and Channel Characteristics for Wireless Networks on Chips Wireless Personal Communications. 95: 5039-5056
Boraten T, Di Tomaso D, Kodi AK. (2016) Secure model checkers for network-on-chip (NoC) architectures Proceedings of the Acm Great Lakes Symposium On Vlsi, Glsvlsi. 18: 45-50
Kennedy M, Kodi AK. (2016) On-demand laser power allocation for on-chip optical interconnects 5th Ieee Photonics Society Optical Interconnects Conference, Oi 2016. 68-69
Kodi AK, Neel B, Brantley WC. (2016) Power and performance analysis of scalable photonic networks for exascale architecture 2015 6th International Green and Sustainable Computing Conference
See more...