Mohamed I. Elmasry

Affiliations: 
University of Waterloo, Waterloo, ON, Canada 
Area:
Electronics and Electrical Engineering
Website:
https://ece.uwaterloo.ca/~elmasry/
Google:
"Mohamed I. Elmasry"
Bio:

http://hdl.handle.net/10393/7032

Parents

Sign in to add mentor
Ching Lai Sheng grad student 1974 University of Ottawa
Philip M. Thompson grad student 1974 University of Ottawa
 (A modular design approach to large-scale-integration of high-speed digital systems.)
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Mostafa H, Anis M, Elmasry M. (2013) Statistical SRAM read access yield improvement using negative capacitance circuits Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 21: 92-101
Mostafa H, Anis M, Elmasry M. (2012) On-chip process variations compensation using an analog adaptive body bias (A-ABB) Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 20: 770-774
Mostafa H, Anis M, Elmasry M. (2012) NBTI and process variations compensation circuits using adaptive body bias Ieee Transactions On Semiconductor Manufacturing. 25: 460-467
Mostafa H, Anis M, Elmasry M. (2011) A bias-dependent model for the impact of process variations on the SRAM soft error immunity Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 19: 2130-2134
Mostafa H, Anis M, Elmasry M. (2011) A novel low area overhead direct adaptive body bias (D-ABB) circuit for die-to-die and within-die variations compensation Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 19: 1848-1860
Mostafa H, Anis MH, Elmasry M. (2011) Analytical soft error models accounting for die-to-die and within-die variations in sub-threshold SRAM cells Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 19: 182-195
Mostafa H, Anis M, Elmasry M. (2011) Adaptive body bias for reducing the impacts of NBTI and process variations on 6T SRAM cells Ieee Transactions On Circuits and Systems I: Regular Papers. 58: 2859-2871
Mostafa H, Anis M, Elmasry M. (2011) Novel timing yield improvement circuits for high-performance low-power wide fan-In dynamic or gates Ieee Transactions On Circuits and Systems I: Regular Papers. 58: 1785-1797
Youssef A, Zahran M, Anis M, et al. (2010) On the power management of simultaneous multithreading processors Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 18: 1243-1248
Mostafa H, Anis M, Elmasry M. (2010) A design-oriented soft error rate variation model accounting for both die-to-die and within-die variations in submicrometer CMOS SRAM cells Ieee Transactions On Circuits and Systems I: Regular Papers. 57: 1298-1311
See more...