Anantha Chandrakasan - Publications

Affiliations: 
Massachusetts Institute of Technology, Cambridge, MA, United States 

94 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Orguc S, Sands J, Sahasrabudhe A, Anikeeva P, Chandrakasan AP. Modular Optoelectronic System for Wireless, Programmable Neuromodulation During Free Behavior. Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual International Conference. 2020: 4322-4325. PMID 33018952 DOI: 10.1109/EMBC44109.2020.9175600  0.373
2019 Hills G, Lau C, Wright A, Fuller S, Bishop MD, Srimani T, Kanhaiya P, Ho R, Amer A, Stein Y, Murphy D, Arvind, Chandrakasan A, Shulaker MM. Modern microprocessor built from complementary carbon nanotube transistors. Nature. 572: 595-602. PMID 31462796 DOI: 10.1038/S41586-019-1493-8  0.339
2018 Desai N, Juvekar C, Chandak S, Chandrakasan AP. An Actively Detuned Wireless Power Receiver With Public Key Cryptographic Authentication and Dynamic Power Allocation Ieee Journal of Solid-State Circuits. 53: 236-246. DOI: 10.1109/JSSC.2017.2737562  0.348
2017 Yip M, Bowers P, Noel V, Chandrakasan A, Stankovic KM. Energy-efficient waveform for electrical stimulation of the cochlear nerve. Scientific Reports. 7: 13582. PMID 29051546 DOI: 10.1038/S41598-017-13671-Y  0.327
2017 Nadeau P, El-Damak D, Glettig D, Kong YL, Mo S, Cleveland C, Booth L, Roxhed N, Langer R, Chandrakasan AP, Traverso G. Prolonged energy harvesting for ingestible devices. Nature Biomedical Engineering. 1. PMID 28458955 DOI: 10.1038/S41551-016-0022  0.374
2017 Paidimarri A, Chandrakasan AP. A Wide Dynamic Range Buck Converter With Sub-nW Quiescent Power Ieee Journal of Solid-State Circuits. 52: 3119-3131. DOI: 10.1109/JSSC.2017.2747217  0.303
2017 Duan C, Gotterba AJ, Sinangil ME, Chandrakasan AP. Energy-Efficient Reconfigurable SRAM: Reducing Read Power Through Data Statistics Ieee Journal of Solid-State Circuits. 52: 2703-2711. DOI: 10.1109/JSSC.2017.2731814  0.365
2017 Lee H, Juvekar CS, Kwong J, Chandrakasan AP. A Nonvolatile Flip-Flop-Enabled Cryptographic Wireless Authentication Tag With Per-Query Key Update and Power-Glitch Attack Countermeasures Ieee Journal of Solid-State Circuits. 52: 272-283. DOI: 10.1109/JSSC.2016.2611678  0.308
2016 Yu L, El-Damak D, Radhakrishna U, Ling X, Zubair A, Lin Y, Zhang Y, Chuang MH, Lee YH, Antoniadis D, Kong J, Chandrakasan A, Palacios T. Design, Modeling and Fabrication of CVD Grown MoS2 Circuits with E-Mode FETs for Large-Area Electronics. Nano Letters. PMID 27633942 DOI: 10.1021/Acs.Nanolett.6B02739  0.377
2016 Nadeau PM, Paidimarri A, Chandrakasan AP. Ultra Low-Energy Relaxation Oscillator With 230 fJ/cycle Efficiency Ieee Journal of Solid-State Circuits. DOI: 10.1109/JSSC.2016.2521886  0.352
2016 Juvekar CS, Lee HM, Kwong J, Chandrakasan AP. 16.2 A Keccak-based wireless authentication tag with per-query key update and power-glitch attack countermeasures Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 59: 290-291. DOI: 10.1109/ISSCC.2016.7418021  0.306
2015 Desai NV, Ramadass YK, Chandrakasan AP. A bipolar ±40 mV self-starting boost converter with transformer reuse for thermoelectric energy harvesting Proceedings of the International Symposium On Low Power Electronics and Design. 2015: 221-226. DOI: 10.1145/2627369.2627609  0.331
2014 Mercier PP, Bandyopadhyay S, Lysaght AC, Stankovic KM, Chandrakasan AP. A sub-nW 2.4 GHz Transmitter for Low Data-Rate Sensing Applications. Ieee Journal of Solid-State Circuits. 49: 1463-1474. PMID 26246641 DOI: 10.1109/Jssc.2014.2316237  0.699
2014 Bandyopadhyay S, Mercier PP, Lysaght AC, Stankovic KM, Chandrakasan AP. A 1.1nW Energy Harvesting System with 544pW Quiescent Power for Next Generation Implants. Ieee Journal of Solid-State Circuits. 49: 2812-2824. PMID 25983340 DOI: 10.1109/JSSC.2014.2350260  0.716
2014 Sinangil Y, Chandrakasan AP. A 128 Kbit SRAM With an Embedded Energy Monitoring Circuit and Sense-Amplifier Offset Compensation Using Body Biasing Ieee Journal of Solid-State Circuits. 49: 2730-2739. DOI: 10.1109/JSSC.2014.2347707  0.31
2014 Qazi M, Amerasekera A, Chandrakasan AP. A 3.4-pj feram-enabled d flip-flop in 0.13-μm CMOS for nonvolatile processing in digital systems Ieee Journal of Solid-State Circuits. 49: 202-211. DOI: 10.1109/JSSC.2013.2282112  0.379
2014 Sinangil ME, Chandrakasan AP. Application-Specific SRAM Design Using Output Prediction to Reduce Bit-Line Switching Activity and Statistically Gated Sense Amplifiers for Up to 1.9$\times$ Lower Energy/Access Ieee Journal of Solid-State Circuits. 49: 107-117. DOI: 10.1109/JSSC.2013.2280310  0.31
2013 Mercier PP, Chandrakasan AP. Rapid wireless capacitor charging using a multi-tapped inductively-coupled secondary coil Ieee Transactions On Circuits and Systems I: Regular Papers. 60: 2263-2272. DOI: 10.1109/Tcsi.2013.2246231  0.611
2013 Chen F, Lim F, Abari O, Chandrakasan A, Stojanovic V. Energy-Aware Design of Compressed Sensing Systems for Wireless Sensors Under Performance and Reliability Constraints Ieee Transactions On Circuits and Systems. 60: 650-661. DOI: 10.1109/Tcsi.2012.2215738  0.376
2013 Mercier PP, Bandropadhyay S, Chandrakasan AP. Enabling Sub-nW RF circuits through subthreshold leakage management 2013 Ieee Soi-3d-Subthreshold Microelectronics Technology Unified Conference, S3s 2013. DOI: 10.1109/S3S.2013.6716524  0.405
2013 Yip M, Chandrakasan AP. A resolution-reconfigurable 5-to-10-bit 0.4-to-1 v power scalable SAR ADC for sensor applications Ieee Journal of Solid-State Circuits. 48: 1453-1464. DOI: 10.1109/JSSC.2013.2254551  0.39
2013 Paidimarri A, Nadeau PM, Mercier PP, Chandrakasan AP. A 2.4 GHz multi-channel FBAR-based transmitter with an integrated pulse-shaping power amplifier Ieee Journal of Solid-State Circuits. 48: 1042-1054. DOI: 10.1109/Jssc.2013.2239001  0.67
2013 Desai NV, Yoo J, Chandrakasan AP. A scalable 2.9mW 1Mb/s eTextiles body area network transceiver with remotely powered sensors and bi-directional data communication Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 56: 206-207. DOI: 10.1109/ISSCC.2013.6487702  0.361
2013 Qazi M, Amerasekera A, Chandrakasan AP. A 3.4pJ FeRAM-enabled D flip-flop in 0.13μm CMOS for nonvolatile processing in digital systems Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 56: 192-193. DOI: 10.1109/ISSCC.2013.6487695  0.408
2013 Mercier PP, Bandyopadhyay S, Lysaght AC, Stankovic KM, Chandrakasan AP. A 78 pW 1 b/s 2.4 GHz radio transmitter for near-zero-power sensing applications European Solid-State Circuits Conference. 133-136. DOI: 10.1109/ESSCIRC.2013.6649090  0.708
2013 Park S, Qazi M, Peh LS, Chandrakasan AP. 40.4fJ/bit/mm low-swing on-chip signaling with self-resetting logic repeaters embedded within a mesh NoC in 45nm SOI CMOS Proceedings -Design, Automation and Test in Europe, Date. 1637-1642.  0.397
2012 Mercier PP, Lysaght AC, Bandyopadhyay S, Chandrakasan AP, Stankovic KM. Energy extraction from the biologic battery in the inner ear. Nature Biotechnology. 30: 1240-3. PMID 23138225 DOI: 10.1038/Nbt.2394  0.675
2012 Yip M, Bohorquez JL, Chandrakasan AP. A 0.6V 2.9μW mixed-signal front-end for ECG monitoring Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. 66-67. DOI: 10.1109/VLSIC.2012.6243792  0.406
2012 Rithe R, Chou S, Gu J, Wang A, Datla S, Gammie G, Buss D, Chandrakasan A. The effect of random dopant fluctuations on logic timing at low voltage Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 20: 911-924. DOI: 10.1109/Tvlsi.2011.2124477  0.335
2012 Sinangil ME, Yip M, Qazi M, Rithe R, Kwong J, Chandrakasan AP. Design of Low-Voltage Digital Building Blocks and ADCs for Energy-Efficient Systems Ieee Transactions On Circuits and Systems Ii: Express Briefs. 59: 533-537. DOI: 10.1109/TCSII.2012.2208675  0.302
2012 Wang A, Kwong J, Chandrakasan A. Out of Thin Air: Energy Scavenging and the Path to Ultralow-Voltage Operation Ieee Solid-State Circuits Magazine. 4: 38-42. DOI: 10.1109/Mssc.2012.2193073  0.464
2012 Ickes N, Gammie G, Sinangil ME, Rithe R, Gu J, Wang A, Mair H, Datla S, Rong B, Honnavara-Prasad S, Ho L, Baldwin G, Buss D, Chandrakasan AP, Ko U. A 28 nm 0.6 v low power DSP for mobile applications Ieee Journal of Solid-State Circuits. 47: 35-46. DOI: 10.1109/JSSC.2011.2169689  0.306
2012 Qazi M, Clinton M, Bartling S, Chandrakasan AP. A low-voltage 1 Mb FRAM in 0.13 m CMOS featuring time-to-digital sensing for expanded operating margin Ieee Journal of Solid-State Circuits. 47: 141-150. DOI: 10.1109/JSSC.2011.2164732  0.362
2012 Calhoun B, Chandrakasan AP, Otis B, Verma N, Yoo HJ. Guest editorial emerging circuits and systems techniques for ultra-low power body sensor networks Ieee Journal On Emerging and Selected Topics in Circuits and Systems. 2: 1-3. DOI: 10.1109/Jetcas.2012.2187708  0.748
2011 Drego N, Chandrakasan A, Boning D, Shah D. Reduction of Variation-Induced Energy Overhead in Multi-Core Processors Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 30: 891-904. DOI: 10.1109/Tcad.2010.2102431  0.399
2011 Qazi M, Sinangil ME, Chandrakasan AP. Challenges and directions for low-voltage SRAM Ieee Design and Test of Computers. 28: 32-43. DOI: 10.1109/MDT.2010.115  0.369
2011 Mercier PP, Chandrakasan AP. A supply-rail-coupled etextiles transceiver for body-area networks Ieee Journal of Solid-State Circuits. 46: 1284-1295. DOI: 10.1109/Jssc.2011.2120690  0.658
2011 Bohorquez JL, Yip M, Chandrakasan AP, Dawson JL. A biomedical sensor interface with a sinc filter and interference cancellation Ieee Journal of Solid-State Circuits. 46: 746-756. DOI: 10.1109/Jssc.2011.2108128  0.359
2011 Qazi M, Clinton M, Bartling S, Chandrakasan AP. A low-voltage 1Mb FeRAM in 0.13μm CMOS featuring time-to-digital sensing for expanded operating margin in scaled CMOS Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 208-209. DOI: 10.1109/ISSCC.2011.5746285  0.335
2011 Yip M, Chandrakasan AP. A resolution-reconfigurable 5-to-10b 0.4-to-1V power scalable SAR ADC Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 190-191. DOI: 10.1109/ISSCC.2011.5746277  0.431
2011 Gammie G, Ickes N, Sinangil ME, Rithe R, Gu J, Wang A, Mair H, Datla S, Rong B, Honnavara-Prasad S, Ho L, Baldwin G, Buss D, Chandrakasan AP, Ko U. A 28nm 0.6V low-power DSP for mobile applications Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 132-133. DOI: 10.1109/ISSCC.2011.5746251  0.306
2010 Bohorquez JL, Yip M, Chandrakasan AP, Dawson JL. A digitally-assisted sensor interface for biomedical applications Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. 217-218. DOI: 10.1109/VLSIC.2010.5560293  0.397
2010 Ramadass YK, Fayed AA, Chandrakasan AP. A fully-integrated switched-capacitor step-down DC-DC converter with digital capacitance modulation in 45 nm CMOS Ieee Journal of Solid-State Circuits. 45: 2557-2565. DOI: 10.1109/JSSC.2010.2076550  0.357
2010 Mercier PP, Bhardwaj M, Daly DC, Chandrakasan AP. A low-voltage energy-sampling IR-UWB digital baseband employing quadratic correlation Ieee Journal of Solid-State Circuits. 45: 1209-1219. DOI: 10.1109/Jssc.2010.2046245  0.698
2010 Drego N, Chandrakasan A, Boning D. All-Digital Circuits for Measurement of Spatial Variation in Digital Circuits Ieee Journal of Solid-State Circuits. 45: 640-651. DOI: 10.1109/Jssc.2009.2039270  0.378
2010 Ramadass YK, Chandrakasan AP. An Efficient Piezoelectric Energy Harvesting Interface Circuit Using a Bias-Flip Rectifier and Shared Inductor Ieee Journal of Solid-State Circuits. 45: 189-204. DOI: 10.1109/JSSC.2009.2034442  0.308
2010 Chandrakasan AP, Daly DC, Finchelstein DF, Kwong J, Ramadass YK, Sinangil ME, Sze V, Verma N. Technologies for ultradynamic voltage scaling Proceedings of the Ieee. 98: 191-214. DOI: 10.1109/JPROC.2009.2033621  0.332
2010 Ramadass Y, Fayed A, Haroun B, Chandrakasan A. A 0.16mm2 completely on-chip switched-capacitor DC-DC converter using digital capacitance modulation for LDO replacement in 45nm CMOS Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 53: 208-209. DOI: 10.1109/ISSCC.2010.5433984  0.345
2010 Mercier PP, Chandrakasan AP. A 110μW 10Mb/s eTextiles transceiver for body area networks with remote battery power Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 53: 496-497. DOI: 10.1109/ISSCC.2010.5433868  0.311
2010 Qazi M, Stawiasz K, Chang L, Chandrakasan A. A 512kb 8T SRAM macro operating down to 0.57V with an AC-coupled sense amplifier and embedded data-retention-voltage sensor in 45nm SOI CMOS Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 53: 350-351. DOI: 10.1109/ISSCC.2010.5433818  0.333
2009 Drego N, Chandrakasan A, Boning D. Lack of Spatial Correlation in MOSFET Threshold Voltage Variation and Implications for Voltage Scaling Ieee Transactions On Semiconductor Manufacturing. 22: 245-255. DOI: 10.1109/Tsm.2009.2017645  0.305
2009 Bohorquez JL, Chandrakasan AP, Dawson JL. Frequency-domain analysis of super-regenerative amplifiers Ieee Transactions On Microwave Theory and Techniques. 57: 2882-2894. DOI: 10.1109/Tmtt.2009.2033843  0.356
2009 Sinangil ME, Verma N, Chandrakasan AP. A reconfigurable 8T ultra-dynamic voltage scalable (U-DVS) SRAM in 65 nm CMOS Ieee Journal of Solid-State Circuits. 44: 3163-3173. DOI: 10.1109/Jssc.2009.2032493  0.394
2009 Mercier PP, Daly DC, Chandrakasan AP. An Energy-Efficient All-Digital UWB Transmitter Employing Dual Capacitively-Coupled Pulse-Shaping Drivers Ieee Journal of Solid-State Circuits. 44: 1679-1688. DOI: 10.1109/Jssc.2009.2020466  0.672
2009 Kwong J, Ramadass YK, Verma N, Chandrakasan AP. A 65 nm Sub-t microcontroller with integrated SRAM and switched capacitor DC-DC converter Ieee Journal of Solid-State Circuits. 44: 115-126. DOI: 10.1109/Jssc.2008.2007160  0.392
2009 Chandrakasan AP, Lee FS, Wentzloff DD, Sze V, Ginsburg BP, Mercier PP, Daly DC, Blazquez R. Low-Power Impulse UWB Architectures and Circuits Proceedings of the Ieee. 97: 332-352. DOI: 10.1109/JPROC.2008.2008787  0.431
2008 Chandrakasan AP, Verma N, Daly DC. Ultralow-power electronics for biomedical applications. Annual Review of Biomedical Engineering. 10: 247-74. PMID 18647116 DOI: 10.1146/Annurev.Bioeng.10.061807.160547  0.448
2008 Verma N, Kwong J, Chandrakasan AP. Nanometer MOSFET variation in minimum energy subthreshold circuits Ieee Transactions On Electron Devices. 55: 163-174. DOI: 10.1109/Ted.2007.911352  0.378
2008 Verma N, Chandrakasan AP. A 256 kb 65 nm 8T Subthreshold SRAM Employing Sense-Amplifier Redundancy Ieee Journal of Solid-State Circuits. 43: 141-149. DOI: 10.1109/Jssc.2007.908005  0.354
2008 Verma N, Chandrakasan AP. A high-density 45nm SRAM using small-signal non-strobed regenerative sensing Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 51: 380-381+621+375. DOI: 10.1109/ISSCC.2008.4523216  0.304
2008 Sinangil ME, Verma N, Chandrakasan AP. A reconfigurable 65nm SRAM achieving voltage scalability from 0.25-1.2V and performance scalability from 20kHz-200MHz Esscirc 2008 - Proceedings of the 34th European Solid-State Circuits Conference. 282-285. DOI: 10.1109/ESSCIRC.2008.4681847  0.354
2007 Verma N, Chandrakasan AP. An ultra low energy 12-bit rate-resolution scalable SAR ADC for wireless sensor nodes Ieee Journal of Solid-State Circuits. 42: 1196-1205. DOI: 10.1109/Jssc.2007.897157  0.335
2006 Wentzloff DD, Chandrakasan AP. Gaussian pulse Generators for subbanded ultra-wideband transmitters Ieee Transactions On Microwave Theory and Techniques. 54: 1647-1655. DOI: 10.1109/Tmtt.2006.872053  0.655
2005 Calhoun BH, Daly DC, Verma N, Finchelstein DF, Wentzloff DD, Wang A, Cho SH, Chandrakasan AP. Design considerations for ultra-low energy wireless microsensor nodes Ieee Transactions On Computers. 54: 727-740. DOI: 10.1109/Tc.2005.98  0.73
2005 Amirtharajah R, Collier J, Siebert J, Zhou B, Chandrakasan A. DSPs for energy harvesting sensors: Applications and architectures Ieee Pervasive Computing. 4: 72-79. DOI: 10.1109/Mprv.2005.54  0.72
2005 Wentzloff DD, Blazquez R, Lee FS, Ginsburg BP, Powell J, Chandrakasan AP. System design considerations for ultra-wideband communication Ieee Communications Magazine. 43: 114-121. DOI: 10.1109/Mcom.2005.1497561  0.676
2005 Calhoun BH, Wang A, Chandrakasan A. Modeling and sizing for minimum energy operation in subthreshold circuits Ieee Journal of Solid-State Circuits. 40: 1778-1786. DOI: 10.1109/Jssc.2005.852162  0.396
2004 Narendra S, De V, Borkar S, Antoniadis DA, Chandrakasan AP. Full-chip subthreshold leakage power prediction and reduction techniques for sub-0.18-/spl mu/m CMOS Ieee Journal of Solid-State Circuits. 39: 501-510. DOI: 10.1109/Jssc.2003.821776  0.353
2004 Amirtharajah R, Chandrakasan AP. A micropower programmable DSP using approximate signal processing based on distributed arithmetic Ieee Journal of Solid-State Circuits. 39: 337-347. DOI: 10.1109/Jssc.2003.821774  0.737
2003 Min R, Chandrakasan A. MobiCom poster: top five myths about the energy consumption of wireless communication Mobile Computing and Communications Review. 7: 65-67. DOI: 10.1145/881978.881998  0.343
2002 Sotiriadis P, Chandrakasan A. Power Estimation And Power Optimal Communication In Deep Submicron Buses: Analytical Models And Statistical Measures Journal of Circuits, Systems, and Computers. 11: 637-658. DOI: 10.1142/S0218126602000641  0.474
2002 Min R, Bhardwaj M, Cho S, Ickes N, Shih E, Sinha A, Wang A, Chandrakasan A. Energy-centric enabling tecumologies for wireless sensor networks Ieee Wireless Communications. 9: 28-39. DOI: 10.1109/Mwc.2002.1028875  0.346
2002 Sinha A, Wang A, Chandrakasan A. Energy scalable system design Ieee Transactions On Very Large Scale Integration Systems. 10: 135-145. DOI: 10.1109/92.994990  0.376
2001 Bhardwaj M, Min R, Chandrakasan AP. Quantifying and enhancing power awareness of VLSI systems Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 9: 757-772. DOI: 10.1109/92.974890  0.307
2001 Meninger S, Mur-Miranda JO, Amirtharajah R, Chandrakasan AP, Lang JH. Vibration-to-electric energy conversion Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 9: 64-76. DOI: 10.1109/92.920820  0.733
2001 Sinha A, Chandrakasan A. Dynamic power management in wireless sensor networks Ieee Design & Test of Computers. 18: 62-74. DOI: 10.1109/54.914626  0.403
2001 Wang A, Heinzelman WB, Sinha A, Chandrakasan AP. Energy-Scalable Protocols for Battery-Operated MicroSensor Networks Journal of Vlsi Signal Processing Systems For Signal, Image, and Video Technology. 29: 223-237. DOI: 10.1023/A:1012235530463  0.309
2000 Chandrakasan A. Special issue on low-power RF systems Proceedings of the Ieee. 88: 1525-1527. DOI: 10.1109/JPROC.2000.888992  0.337
2000 Min R, Furrer T, Chandrakasan A. Dynamic voltage scaling techniques for distributed microsensor networks Proceedings - Ieee Computer Society Workshop On Vlsi 2000: System Design For a System-On-Chip Era, Iwv 2000. 43-46. DOI: 10.1109/IWV.2000.844528  0.305
2000 Dancy AP, Amirtharajah R, Chandrakasan AP. High-efficiency multiple-output DC-DC conversion for low-voltage systems Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 8: 252-263. DOI: 10.1109/92.845892  0.71
2000 Kao J, Chandrakasan A. Dual-threshold voltage techniques for low-power digital circuits Ieee Journal of Solid-State Circuits. 35: 1009-1018. DOI: 10.1109/4.848210  0.38
1998 Chandrakasan A, Sha EHM. Special Section on Low-Power Electronics and Design Ieee Transactions On Very Large Scale Integration Systems. 6: 518-519. DOI: 10.1109/Tvlsi.1998.736122  0.41
1998 Amirtharajah R, Chandrakasan AP. Self-powered signal processing using vibration-based power generation Ieee Journal of Solid-State Circuits. 33: 687-695. DOI: 10.1109/4.668982  0.737
1998 Hadjiyiannis G, Chandrakasan A, Devadas S. A low power, low bandwidth protocol for remote wireless terminals Wireless Networks. 4: 3-15. DOI: 10.1023/A:1019166914475  0.501
1997 Gutnik V, Chandrakasan A. Embedded power supply for low-power DSP Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 5: 425-435. DOI: 10.1109/92.645069  0.383
1997 Yang IY, Vieri C, Chandrakasan A, Antoniadis DA. Back-gated CMOS on SOIAS for dynamic threshold voltage control Ieee Transactions On Electron Devices. 44: 822-831. DOI: 10.1109/16.568045  0.489
1996 Srivastava MB, Chandrakasan AP, Brodersen RW. Predictive system shutdown and other architectural techniques for energy efficient programmable computation Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 4: 42-55. DOI: 10.1109/92.486080  0.606
1996 Chandrakasan AP, Brodersen RW. Guest editors' introduction Journal of Vlsi Signal Processing Systems For Signal, Image and Video Technology. 13: 85-86. DOI: 10.1007/BF01130399  0.412
1995 Chandrakasan A, Brodersen R. Minimizing power consumption in digital CMOS circuits Proceedings of the Ieee. 83: 498-523. DOI: 10.1109/5.371964  0.427
1995 Chandrakasan A, Potkonjak M, Mehra R, Rabaey J, Brodersen R. Optimizing power using transformations Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 14: 12-31. DOI: 10.1109/43.363126  0.701
1994 Chandrakasan AP, Burstein A, Brodersen RW. A Low-Power Chipset for a Portable Multimedia I/O Terminal Ieee Journal of Solid-State Circuits. 29: 1415-1428. DOI: 10.1109/4.340415  0.639
1992 Chandrakasan AP, Sheng S, Brodersen RW. Low-Power CMOS Digital Design Ieee Journal of Solid-State Circuits. 27: 473-484. DOI: 10.1109/4.126534  0.671
1992 Sheng S, Chandrakasan A, Brodersen RW. A Portable Multimedia Terminal: Successful Personal Communications Terminals will depend upon the Smooth Integration of Computation and Communications Facilities in a Lightweight Unit Ieee Communications Magazine. 30: 64-75. DOI: 10.1109/35.210358  0.591
1991 Brodersen RW, Chandrakasan A, Sheng S. Technologies for personal communications . 5-9.  0.641
Show low-probability matches.