Igor L. Markov, Ph.D.

Affiliations: 
2001 University of California, Los Angeles, Los Angeles, CA 
Area:
Computer Science, Electronics and Electrical Engineering, Mathematics
Google:
"Igor Markov"

Parents

Sign in to add mentor
Andrew B. Kahng grad student 2001 UCLA
 (Top-down timing -driven placement with direct minimization of maximal signal delay.)
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Plaza SM, Markov IL. (2015) Solving the third-shift problem in IC piracy with test-aware logic locking Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 34: 961-971
Garcia HJ, Markov IL. (2015) Simulation of quantum circuits via stabilizer frames Ieee Transactions On Computers. 64: 2323-2336
Markov IL. (2014) Limits on fundamental limits to computation. Nature. 512: 147-54
Nadakuditi RR, Markov IL. (2013) On bottleneck analysis in stochastic stream processing Acm Transactions On Design Automation of Electronic Systems. 18
Kim MC, Lee DJ, Markov IL. (2013) SimPL: An algorithm for placing VLSI circuits Communications of the Acm. 56: 105-113
Saeedi M, Markov IL. (2013) Synthesis and optimization of reversible circuits-a survey Acm Computing Surveys. 45
Kahng AB, Kang S, Lee H, et al. (2013) High-performance gate sizing with a signoff timer Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 450-457
Markov IL, Saeedi M. (2013) Faster quantum number factoring via circuit synthesis Physical Review a - Atomic, Molecular, and Optical Physics. 87
Papa DA, Markov IL. (2013) Co-optimization of latches and clock networks Lecture Notes in Electrical Engineering. 166: 133-148
Lee DJ, Markov IL. (2012) Obstacle-aware clock-tree shaping during placement Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 31: 205-216
See more...