Taeyoung Kim - Publications

Affiliations: 
Intel Corporation, Santa Clara, CA, United States 
Area:
Machine Learning, VLSI, Embedded Systems, Reliability, Electromigration, Reinforcement Learning, Signal Integrity, Power Integrity, High Speed I/O, FPGA, Microprocessor

12 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2018 Wang S, Kim T, Sun Z, Tan SX, Tahoori MB. Recovery-Aware Proactive TSV Repair for Electromigration Lifetime Enhancement in 3-D ICs Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 26: 531-543. DOI: 10.1109/Tvlsi.2017.2775586  0.698
2018 Peng S, Zhou H, Kim T, Chen H, Tan SX. Physics-Based Compact TDDB Models for Low- $k$ BEOL Copper Interconnects With Time-Varying Voltage Stressing Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 26: 239-248. DOI: 10.1109/Tvlsi.2017.2764880  0.713
2018 Kim T, Tan SX, Cook C, Sun Z. Detection of counterfeited ICs via on-chip sensor and post-fabrication authentication policy Integration. 63: 31-40. DOI: 10.1016/J.Vlsi.2018.05.002  0.661
2018 Tan SX, Amrouch H, Kim T, Sun Z, Cook C, Henkel J. Recent advances in EM and BTI induced reliability modeling, analysis and optimization (invited) Integration. 60: 132-152. DOI: 10.1016/J.Vlsi.2017.08.009  0.715
2018 Kim T, Liu Z, Tan SX. Dynamic reliability management based on resource-based EM modeling for multi-core microprocessors Microelectronics Journal. 74: 106-115. DOI: 10.1016/J.Mejo.2018.01.024  0.719
2017 Kim T, Sun Z, Chen H, Wang H, Tan SX. Energy and Lifetime Optimizations for Dark Silicon Manycore Microprocessor Considering Both Hard and Soft Errors Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 25: 2561-2574. DOI: 10.1109/Tvlsi.2017.2707401  0.703
2017 Chen H, Tan SX, Peng J, Kim T, Chen J. Analytical Modeling of Electromigration Failure for VLSI Interconnect Tree Considering Temperature and Segment Length Effects Ieee Transactions On Device and Materials Reliability. 17: 653-666. DOI: 10.1109/Tdmr.2017.2746660  0.718
2017 Huang X, Sukharev V, Kim T, Tan SX. Dynamic electromigration modeling for transient stress evolution and recovery under time-dependent current and temperature stressing Integration. 58: 518-527. DOI: 10.1016/J.Vlsi.2016.10.007  0.714
2016 Zhao Y, Kim T, Shin H, Tan SX, Li X, Chen H, Wang H. Statistical Rare-Event Analysis and Parameter Guidance by Elite Learning Sample Selection Acm Transactions On Design Automation of Electronic Systems. 21: 1-21. DOI: 10.1145/2875422  0.692
2016 Chen H, Tan SX, Huang X, Kim T, Sukharev V. Analytical Modeling and Characterization of Electromigration Effects for Multibranch Interconnect Trees Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 35: 1811-1824. DOI: 10.1109/Tcad.2016.2523898  0.702
2016 Huang X, Sukharev V, Choy JH, Chew M, Kim T, Tan SXD. Electromigration assessment for power grid networks considering temperature and thermal stress effects Integration, the Vlsi Journal. DOI: 10.1016/J.Vlsi.2016.04.001  0.404
2012 Lee WY, Hur K, Kim T, Eom DS, Kim JO. Large scale indoor localization system based on wireless sensor networks for ubiquitous computing Wireless Personal Communications. 63: 241-260. DOI: 10.1007/S11277-010-0117-2  0.314
Show low-probability matches.