Eungnak Han, Ph.D. - Publications

Affiliations: 
2011 University of Wisconsin, Madison, Madison, WI 
Area:
Polymer Synthesis

20 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2015 Han E, Younkin TR, Chandhok M, Myers AM, Tronic TA, Gstrein F, Elineni KK, Gaikwad A, Nyhus PA, Setu PK, Wallace CH. Material readiness for generation 2 directed self-assembly (DSA) < 24nm pitch Proceedings of Spie - the International Society For Optical Engineering. 9425. DOI: 10.1117/12.2086094  0.43
2015 Choi JW, Kim M, Safron NS, Han E, Arnold MS, Gopalan P. A facile route for fabricating graphene nanoribbon array transistors using graphoepitaxy of a symmetric block copolymer Proceedings of Spie - the International Society For Optical Engineering. 9428. DOI: 10.1117/12.2085836  0.597
2013 Sweat DP, Kim M, Yu X, Schmitt SK, Han E, Choi JW, Gopalan P. A dual functional layer for block copolymer self-assembly and the growth of nanopatterned polymer brushes. Langmuir : the Acs Journal of Surfaces and Colloids. 29: 12858-65. PMID 24053350 DOI: 10.1021/La403474K  0.77
2013 Kim M, Han E, Sweat DP, Gopalan P. Interplay of surface chemical composition and film thickness on graphoepitaxial assembly of asymmetric block copolymers Soft Matter. 9: 6135-6141. DOI: 10.1039/C3Sm50307K  0.757
2013 Widin JM, Kim M, Schmitt AK, Han E, Gopalan P, Mahanthappa MK. Bulk and thin film morphological behavior of broad dispersity poly(styrene-b-methyl methacrylate) diblock copolymers Macromolecules. 46: 4472-4480. DOI: 10.1021/Ma4004538  0.669
2013 Liu CC, Ramírez-Hernández A, Han E, Craig GSW, Tada Y, Yoshida H, Kang H, Ji S, Gopalan P, De Pablo JJ, Nealey PF. Chemical patterns for directed self-assembly of lamellae-forming block copolymers with density multiplication of features Macromolecules. 46: 1415-1424. DOI: 10.1021/Ma302464N  0.665
2012 Kim M, Safron NS, Han E, Arnold MS, Gopalan P. Electronic transport and Raman scattering in size-controlled nanoperforated graphene. Acs Nano. 6: 9846-54. PMID 23113838 DOI: 10.1021/Nn3033985  0.573
2012 Han E, Kim M, Gopalan P. Chemical patterns from surface grafted resists for directed assembly of block copolymers. Acs Nano. 6: 1823-9. PMID 22243029 DOI: 10.1021/Nn204995Z  0.668
2012 Kang H, Craig GSW, Han E, Gopalan P, Nealey PF. Degree of perfection and pattern uniformity in the directed assembly of cylinder-forming block copolymer on chemically patterned surfaces Macromolecules. 45: 159-164. DOI: 10.1021/Ma202249N  0.668
2011 Liu CC, Han E, Onses MS, Thode CJ, Ji S, Gopalan P, Nealey PF. Fabrication of lithographically defined chemically patterned polymer brushes and mats Macromolecules. 44: 1876-1885. DOI: 10.1021/Ma102856T  0.632
2010 Han E, Leolukman M, Kim M, Gopalan P. Resist free patterning of nonpreferential buffer layers for block copolymer lithography. Acs Nano. 4: 6527-34. PMID 20958012 DOI: 10.1021/Nn101616D  0.761
2010 Han E, Kang H, Liu CC, Nealey PF, Gopalan P. Graphoepitaxial assembly of symmetric block copolymers on weakly preferential substrates. Advanced Materials (Deerfield Beach, Fla.). 22: 4325-9. PMID 20806266 DOI: 10.1002/Adma.201001669  0.651
2010 Kim M, Safron NS, Han E, Arnold MS, Gopalan P. Fabrication and characterization of large-area, semiconducting nanoperforated graphene materials. Nano Letters. 10: 1125-31. PMID 20192229 DOI: 10.1021/Nl9032318  0.552
2010 Han E, Gopalan P. Cross-linked random copolymer mats as ultrathin nonpreferential layers for block copolymer self-assembly. Langmuir : the Acs Journal of Surfaces and Colloids. 26: 1311-5. PMID 19791776 DOI: 10.1021/La902483M  0.592
2010 Liu CC, Nealey PF, Raub AK, Hakeem PJ, Brueck SRJ, Han E, Gopalan P. Integration of block copolymer directed assembly with 193 immersion lithography Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: C6B30-C6B34. DOI: 10.1116/1.3501348  0.631
2009 Hirai T, Leolukman M, Liu CC, Han E, Kim YJ, Ishida Y, Hayakawa T, Kakimoto MA, Nealey PF, Gopalan P. One-Step Direct-Patterning Template Utilizing Self-Assembly of POSS-Containing Block Copolymers. Advanced Materials (Deerfield Beach, Fla.). 21: 4334-8. PMID 26042939 DOI: 10.1002/Adma.200900518  0.761
2009 Han E, Stuen KO, Leolukman M, Liu CC, Nealey PF, Gopalan P. Perpendicular orientation of domains in cylinder-forming block copolymer thick films by controlled interfacial interactions Macromolecules. 42: 4896-4901. DOI: 10.1021/Ma9002903  0.766
2008 Han E, Stuen KO, La YH, Nealey PF, Gopalan P. Effect of composition of substrate-modifying random copolymers on the orientation of symmetric and asymmetric diblock copolymer domains Macromolecules. 41: 9090-9097. DOI: 10.1021/Ma8018393  0.69
2007 Stuen KO, In I, Han E, Streifer JA, Hamers RJ, Nealey PF, Gopalan P. Imaging layers for the directed assembly of block copolymer films: Dependence of the physical and chemical properties of patterned polymer brushes on brush molecular weight Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 25: 1958-1962. DOI: 10.1116/1.2799970  0.657
2007 Han E, In I, Park SM, La YH, Wang Y, Nealey PF, Gopalan P. Photopatternable imaging layers for controlling block copolymer microdomain orientation Advanced Materials. 19: 4448-4452. DOI: 10.1002/adma.200602708  0.511
Show low-probability matches.