Vijay Raghunathan, Ph.D. - Publications

Affiliations: 
2006 University of California, Los Angeles, Los Angeles, CA 
Area:
Wireless networking, embedded computing, embedded systems, sensor networks, mobile and ubiquitous computing, low-power and power-aware systems, embedded technologies for health and sustainability

58 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Thirumala SK, Hung Y, Jain S, Raha A, Thakuria N, Raghunathan V, Raghunathan A, Chen Z, Gupta S. Valley-Coupled-Spintronic Non-Volatile Memories With Compute-In-Memory Support Ieee Transactions On Nanotechnology. 1-1. DOI: 10.1109/Tnano.2020.3012550  0.338
2020 Raha A, Chakrabarty A, Raghunathan V, Buzzard GT. Embedding Approximate Nonlinear Model Predictive Control at Ultrahigh Speed and Extremely Low Power Ieee Transactions On Control Systems and Technology. 28: 1092-1099. DOI: 10.1109/Tcst.2019.2898835  0.384
2018 Raha A, Raghunathan V. Approximating Beyond the Processor: Exploring Full-System Energy-Accuracy Tradeoffs in a Smart Camera System Ieee Transactions On Very Large Scale Integration Systems. 26: 2884-2897. DOI: 10.1109/Tvlsi.2018.2864269  0.473
2018 Behroozi S, Raghunathan V, Raghunathan A, Kim Y. A Quality-Configurable Approximate Serial Bus for Energy-Efficient Sensory Data Transfer Ieee Journal On Emerging and Selected Topics in Circuits and Systems. 8: 379-390. DOI: 10.1109/Jetcas.2018.2856085  0.455
2017 Raha A, Raghunathan V. q LUT: Input-Aware Quantized Table Lookup for Energy-Efficient Approximate Accelerators Acm Transactions in Embedded Computing Systems. 16: 130. DOI: 10.1145/3126531  0.441
2017 Sutar S, Raha A, Kulkarni D, Shorey R, Tew J, Raghunathan V. D-PUF: An Intrinsically Reconfigurable DRAM PUF for Device Authentication and Random Number Generation Acm Transactions in Embedded Computing Systems. 17: 17. DOI: 10.1145/3105915  0.305
2017 Jayakumar H, Raha A, Stevens JR, Raghunathan V. Energy-Aware Memory Mapping for Hybrid FRAM-SRAM MCUs in Intermittently-Powered IoT Devices Acm Transactions in Embedded Computing Systems. 16: 65. DOI: 10.1145/2983628  0.475
2017 Raha A, Jaiswal A, Sarwar SS, Jayakumar H, Raghunathan V, Roy K. Designing Energy-Efficient Intermittently Powered Systems Using Spin-Hall-Effect-Based Nonvolatile SRAM Ieee Transactions On Very Large Scale Integration Systems. 26: 294-307. DOI: 10.1109/Tvlsi.2017.2767033  0.431
2017 Raha A, Sutar S, Jayakumar H, Raghunathan V. Quality Configurable Approximate DRAM Ieee Transactions On Computers. 66: 1172-1187. DOI: 10.1109/Tc.2016.2640296  0.363
2017 Raha A, Raghunathan V. Synergistic Approximation of Computation and Memory Subsystems for Error-Resilient Applications Ieee Embedded Systems Letters. 9: 21-24. DOI: 10.1109/Les.2017.2658566  0.439
2016 Jayakumar H, Raha A, Raghunathan V. Sleep-Mode Voltage Scaling: Enabling SRAM Data Retention at Ultra-Low Power in Embedded Microcontrollers Acm Transactions in Embedded Computing Systems. 16: 10. DOI: 10.1145/2950054  0.352
2016 Jayakumar H, Raha A, Raghunathan V. Energy-Aware Memory Mapping for Hybrid FRAM-SRAM MCUs in IoT Edge Devices Proceedings of the Ieee International Conference On Vlsi Design. 2016: 264-269. DOI: 10.1109/VLSID.2016.52  0.362
2016 Raha A, Venkataramani S, Raghunathan V, Raghunathan A. Energy-Efficient Reduce-and-Rank Using Input-Adaptive Approximations Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. DOI: 10.1109/Tvlsi.2016.2586379  0.386
2016 Raha A, Jayakumar H, Raghunathan V. Input-Based Dynamic Reconfiguration of Approximate Arithmetic Units for Video Encoding Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 24: 846-857. DOI: 10.1109/Tvlsi.2015.2424212  0.372
2016 Liu J, Priyantha B, Hart T, Jin Y, Lee W, Raghunathan V, Ramos HS, Wang Q. CO-GPS: Energy efficient GPS sensing with cloud offloading Ieee Transactions On Mobile Computing. 15: 1348-1361. DOI: 10.1109/Tmc.2015.2446461  0.329
2016 Raghunathan V, Khellah MM. Recap of the 2016 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED 2016) Ieee Design & Test of Computers. 33: 93-94. DOI: 10.1109/Mdat.2016.2611493  0.309
2016 Islam ABMAA, Islam MJ, Nurain N, Raghunathan V. Channel Assignment Techniques for Multi-Radio Wireless Mesh Networks: A Survey Ieee Communications Surveys and Tutorials. 18: 988-1017. DOI: 10.1109/Comst.2015.2510164  0.382
2015 Jayakumar H, Raha A, Lee WS, Raghunathan V. QUICKRECALL: A HW/SW approach for computing across power cycles in Transiently Powered Computers Acm Journal On Emerging Technologies in Computing Systems. 12. DOI: 10.1145/2700249  0.412
2015 Raha A, Mitra S, Raghunathan V, Rao S. VIDalizer: An energy efficient video streamer 2015 Ieee Wireless Communications and Networking Conference, Wcnc 2015. 2233-2238. DOI: 10.1109/WCNC.2015.7127814  0.365
2015 Islam ABMAA, Raghunathan V. SiAc: simultaneous activation of heterogeneous radios in high data rate multi-hop wireless networks Wireless Networks. DOI: 10.1007/S11276-015-0923-2  0.392
2015 Islam ABMAA, Raghunathan V. SymCo: Symbiotic Coexistence of Single-hop and Multi-hop Transmissions in Next-generation Wireless Mesh Networks Wireless Networks. 21: 2115-2136. DOI: 10.1007/S11276-015-0908-1  0.409
2014 Cao M, Raghunathan V, Kumar PR. Distributed energy aware cross-layer resource allocation in wireless networks Wicon 2007 - 3rd International Icst Conference On Wireless Internet. DOI: 10.4108/wicon.2007.2301  0.353
2014 Alim Al Islam ABM, Hossain MS, Raghunathan V, Hu YUC. Backpacking: Energy-efficient deployment of heterogeneous radios in multi-radio high-data-rate wireless sensor networks Ieee Access. 2: 1281-1306. DOI: 10.1109/Access.2014.2364234  0.541
2014 Alim Al Islam ABM, Raghunathan V. iTCP: an intelligent TCP with neural network based end-to-end congestion control for ad-hoc multi-hop wireless mesh networks Wireless Networks. 21: 581-610. DOI: 10.1007/S11276-014-0799-6  0.46
2012 Islam ABMAA, Hossain MS, Raghunathan V. Dynamic Clustering with Relay Nodes (DCRN): A Clustering Technique to Maximize Stability in Wireless Sensor Networks with Relay Nodes Int'L J. of Communications, Network and System Sciences. 2012: 368-385. DOI: 10.4236/Ijcns.2012.56047  0.446
2012 Lu C, Park SP, Raghunathan V, Roy K. Low-overhead maximum power point tracking for micro-scale solar energy harvesting systems Proceedings of the Ieee International Conference On Vlsi Design. 215-220. DOI: 10.1109/VLSID.2012.73  0.345
2012 Alim Al Islam ABM, Raghunathan V. QRTT : Stateful round trip time estimation for wireless embedded systems using Q-learning Ieee Embedded Systems Letters. 4: 102-105. DOI: 10.1109/Les.2012.2229961  0.379
2011 Lu C, Raghunathan V, Roy K. Efficient design of micro-scale energy harvesting systems Ieee Journal On Emerging and Selected Topics in Circuits and Systems. 1: 254-266. DOI: 10.1109/Jetcas.2011.2162161  0.532
2010 Lu C, Park SP, Raghunathan V, Roy K. Analysis and design of ultra low power thermoelectric energy harvesting systems Proceedings of the International Symposium On Low Power Electronics and Design. 183-188. DOI: 10.1145/1840845.1840882  0.387
2010 Hossain MS, Raghunathan V. AEGIS: A rule based framework for traffic gatekeeping in wireless sensor networks Proceedings of the 9th Acm/Ieee International Conference On Information Processing in Sensor Networks, Ipsn '10. 386-387. DOI: 10.1145/1791212.1791267  0.32
2010 Lu C, Raghunathan V, Roy K. Maximum power point considerations in micro-scale solar energy harvesting systems Iscas 2010 - 2010 Ieee International Symposium On Circuits and Systems: Nano-Bio Circuit Fabrics and Systems. 273-276. DOI: 10.1109/ISCAS.2010.5537888  0.373
2010 Al Islam ABMA, Raghunathan V. Analyzing the impact of retransmission timeout bounds in wireless mesh networks Icece 2010 - 6th International Conference On Electrical and Computer Engineering. 654-657. DOI: 10.1109/ICELCE.2010.5700778  0.313
2010 Lu C, Raghunathan V, Roy K. Micro-scale energy harvesting: A system design perspective Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 89-94. DOI: 10.1109/ASPDAC.2010.5419913  0.427
2010 Hossain MS, Raghunathan V. AEGIS: A lightweight firewall for wireless sensor networks Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). 6131: 258-272. DOI: 10.1007/978-3-642-13651-1_19  0.338
2010 Lu C, Park SP, Raghunathan V, Roy K. Efficient power conversion for ultra low voltage micro scale energy transducers Proceedings -Design, Automation and Test in Europe, Date. 1602-1607.  0.359
2008 Troxel GD, Blossom E, Boswell S, Caro A, Castineyra I, Colvin A, Dreier T, Evans JB, Goffee N, Haigh KZ, Hussain T, Kawadia V, Lapsley D, Livadas C, Medina A, ... ... Raghunathan V, et al. Enabling open-source cognitively-controlled collaboration among software-defined radio nodes Computer Networks. 52: 898-911. DOI: 10.1016/J.Comnet.2007.11.010  0.641
2007 Bhandari V, Raghunathan V, Bui BD, Caccamo M. Real-time implications of multiple transmission rates in wireless networks Proceedings of the Annual International Conference On Mobile Computing and Networking, Mobicom. 314-317. DOI: 10.1145/1287853.1287893  0.314
2007 Raghunathan V, Kumar PR. A counterexample in congestion control of wireless networks Performance Evaluation. 64: 399-418. DOI: 10.1016/J.Peva.2006.08.005  0.305
2006 Raghunathan V, Chou PH. Design and power management of energy harvesting embedded systems Proceedings of the International Symposium On Low Power Electronics and Design. 2006: 369-374. DOI: 10.1145/1165573.1165663  0.518
2006 Hsu J, Zahedi S, Kansal A, Srivastava M, Raghunathan V. Adaptive duty cycling for energy harvesting systems Proceedings of the International Symposium On Low Power Electronics and Design. 2006: 180-185. DOI: 10.1145/1165573.1165616  0.752
2006 Kansal A, Hsu J, Srivastava M, Raghunathan V. Harvesting aware power management for sensor networks Proceedings - Design Automation Conference. 651-656. DOI: 10.1145/1146909.1147075  0.695
2006 Troxel GD, Blossom E, Boswell S, Caro A, Castineyra I, Colvin A, Dreier T, Evanst JB, Goffee N, Haigh KZ, Hussain T, Kawadia V, Lapsley D, Livadas C, Medina A, ... ... Raghunathan V, et al. Adaptive Dynamic Radio Open-source Intelligent Team (ADROIT): Cognitively-controlled collaboration among SDR nodes 2006 1st Ieee Workshop On Networking Technologies For Software Defined Radio Networks, Sdr. 8-17. DOI: 10.1109/SDR.2006.4286321  0.609
2006 Raghunathan V, Ganeriwal S, Srivastava M. Emerging techniques for long lived wireless sensor networks Ieee Communications Magazine. 44: 108-114. DOI: 10.1109/Mcom.2006.1632657  0.786
2006 Raghunathan V, Sultan F, Nagaraja K, Chakradhar S. A new computing and communication paradigm for next generation wireless services: Low power, secure wireless sensor networks Proceedings - 2006 Ieee Radio and Wireless Symposium. 2006: 311-314.  0.322
2005 Raghunathan V, Pereira CL, Srivastava MB, Gupta RK. Energy-aware wireless systems with adaptive power-fidelity tradeoffs Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 13: 211-224. DOI: 10.1109/Tvlsi.2004.840773  0.709
2005 Raghunathan V, Kansal A, Hsu J, Friedman J, Srivastava M. Design considerations for solar energy harvesting wireless embedded systems 2005 4th International Symposium On Information Processing in Sensor Networks, Ipsn 2005. 2005: 457-462. DOI: 10.1109/IPSN.2005.1440973  0.677
2004 Raghunathan V, Ganeriwal S, Srivastava M, Schurgers C. Energy efficient wireless packet scheduling and fair queuing Acm Transactions On Embedded Computing Systems. 3: 3-23. DOI: 10.1145/972627.972629  0.779
2004 Raghunathan V, Schurgers C, Park S, Srivastava MB. Energy efficient design of wireless sensor nodes Wireless Sensor Network. 51-69. DOI: 10.1007/978-1-4020-7884-2_3  0.759
2003 Schurgers C, Raghunathan V, Srivastava MB. Power management for energy-aware communication systems Acm Transactions On Embedded Computing Systems (Tecs). 2: 431-447. DOI: 10.1145/860176.860184  0.769
2003 Park SI, Raghunathan V, Srivastava MB. Energy Efficiency and Fairness Tradeoffs in Multi-Resource, Multi-Tasking Embedded Systems Proceedings of the International Symposium On Low Power Electronics and Design. 469-474.  0.63
2003 Raghunathan V, Srivastava MB, Gupta RK. A survey of techniques for energy efficient on-chip communication Proceedings - Design Automation Conference. 900-905.  0.602
2002 Kulkarni G, Raghunathan V, Srivastava M, Gerla M. Channel allocation of OFDMA based wireless ad hoc networks Proceedings of Spie - the International Society For Optical Engineering. 4791: 252-261. DOI: 10.1117/12.453813  0.538
2002 Raghunathan V, Raghunathan A, Srivastava MB, Ercegovac MD. High-level synthesis with SIMD units Proceedings - 7th Asia and South Pacific Design Automation Conference, 15th International Conference On Vlsi Design, Asp-Dac/Vlsi Design 2002. 407-413. DOI: 10.1109/ASPDAC.2002.994955  0.531
2002 Raghunathan V, Schurgers C, Park S, Srivastava MB. Energy-aware wireless microsensor networks Ieee Signal Processing Magazine. 19: 40-50. DOI: 10.1109/79.985679  0.77
2002 Raghunathan V, Ganeriwal S, Schurgers C, Srivastava M. E2WFQ: An energy efficient fair scheduling policy for wireless systems Proceedings of the International Symposium On Low Power Electronics and Design, Digest of Technical Papers. 30-35.  0.801
2001 Schurgers C, Raghunathan V, Srivastava MB. Modulation scaling for real-time energy aware packet scheduling Conference Record / Ieee Global Telecommunications Conference. 6: 3653-3657.  0.741
2001 Raghunathan V, Spanos P, Srivastava MB. Adaptive power-fidelity in energy-aware wireless embedded systems Proceedings - Real-Time Systems Symposium. 106-115.  0.691
2000 Raghunathan V. Integrating variable-latency components into high-level synthesis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 19: 1105-1117. DOI: 10.1109/43.875270  0.317
Show low-probability matches.