Chi-Chun Liu, Ph.D. - Publications

Affiliations: 
University of Wisconsin, Madison, Madison, WI 

61 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2017 Chang TH, Xiong S, Liu CC, Liu D, Nealey PF, Ma Z. The One-Pot Directed Assembly of Cylinder-Forming Block Copolymer on Adjacent Chemical Patterns for Bimodal Patterning. Macromolecular Rapid Communications. PMID 28749034 DOI: 10.1002/Marc.201700285  0.684
2017 Liu C, Franke E, Mignot Y, LeFevre S, Sieg S, Chi C, Meli L, Parnell D, Schmidt K, Sanchez M, Singh L, Furukawa T, Seshadri I, Silva EAD, Tsai H, et al. DSA patterning options for logics and memory applications Proceedings of Spie. 10146: 1014603. DOI: 10.1117/12.2260479  0.313
2017 Chi C, Liu C, Meli L, Guo J, Parnell D, Mignot Y, Schmidt K, Sanchez M, Farrell R, Singh L, Furukawa T, Lai K, Xu Y, Sanders D, Hetzer D, et al. Electrical study of DSA shrink process and CD rectification effect at sub-60nm using EUV test vehicle Proceedings of Spie. 10146. DOI: 10.1117/12.2260454  0.346
2017 Bonam R, Muthinti R, Breton M, Liu C, Sieg S, Seshadri I, Saulnier N, Shearer J, Patlolla R, Huang H. An OCD perspective of line edge and line width roughness metrology Proceedings of Spie. 10145: 1014511. DOI: 10.1117/12.2258196  0.309
2017 Bonam R, Liu C, Breton M, Sieg S, Seshadri I, Saulnier N, Shearer J, Muthinti R, Patlolla R, Huang H. Comprehensive analysis of line-edge and line-width roughness for EUV lithography Proceedings of Spie. 10143. DOI: 10.1117/12.2258194  0.361
2017 Bruce RL, Fraczak G, Papalia JM, Tsai H, BrightSky M, Miyazoe H, Zhu Y, Engelmann SU, Lung H, Masuda T, Suu K, Liu C, Tang H, Arnold JC, Felix N, et al. Directed self-assembly patterning strategies for phase change memory applications Proceedings of Spie. 10149. DOI: 10.1117/12.2257829  0.442
2017 Lai K, Liu C, Tsai H, Xu Y, Chi C, Raghunathan A, Dhagat P, Hu L, Park O, Jung S, Cho W, Morillo J, Pitera J, Schmidt K, Guillorn M, et al. Design technology co-optimization assessment for directed self-assembly-based lithography: design for directed self-assembly or directed self-assembly for design? Journal of Micro/Nanolithography, Mems, and Moems. 16: 013502. DOI: 10.1117/1.Jmm.16.1.013502  0.341
2016 Chang TH, Xiong S, Jacobberger RM, Mikael S, Suh HS, Liu CC, Geng D, Wang X, Arnold MS, Ma Z, Nealey PF. Directed self-assembly of block copolymer films on atomically-thin graphene chemical patterns. Scientific Reports. 6: 31407. PMID 27528258 DOI: 10.1038/Srep31407  0.653
2016 Xie T, Vora A, Mulcahey PJ, Nanescu SE, Singh M, Choi DS, Huang JK, Liu CC, Sanders DP, Hahm JI. Surface Assembly Configurations and Packing Preferences of Fibrinogen Mediated by the Periodicity and Alignment Control of Block Copolymer Nanodomains. Acs Nano. PMID 27462904 DOI: 10.1021/Acsnano.6B03071  0.429
2016 Wan L, Ji S, Liu CC, Craig GS, Nealey PF. Directed self-assembly of solvent-vapor-induced non-bulk block copolymer morphologies on nanopatterned substrates. Soft Matter. PMID 26891026 DOI: 10.1039/C5Sm02829A  0.651
2016 Liu C, Franke E, Lie FL, Sieg S, Tsai H, Lai K, Truong H, Farrell R, Somervell M, Sanders D, Felix N, Guillorn M, Burns S, Hetzer D, Ko A, et al. Directed self-assembly patterning for forming fin field effect transistors Spie Newsroom. DOI: 10.1117/2.1201606.006519  0.402
2016 Chi C, Liu CC, Meli L, Schmidt K, Xu Y, Desilva EA, Sanchez M, Farrell R, Cottle H, Kawamura D, Singh L, Furukawa T, Lai K, Pitera JW, Sanders D, et al. DSA via hole shrink for advanced node applications Proceedings of Spie - the International Society For Optical Engineering. 9777. DOI: 10.1117/12.2219706  0.416
2016 Schmidt K, Osaki H, Nishino K, Sanchez M, Liu CC, Furukawa T, Chi C, Pitera J, Felix N, Sanders D. Strategies to enable directed self-Assembly contact hole shrink for tight pitches Proceedings of Spie - the International Society For Optical Engineering. 9777. DOI: 10.1117/12.2219213  0.444
2016 Ji S, Wan L, Liu CC, Nealey PF. Directed self-assembly of block copolymers on chemical patterns: A platform for nanofabrication Progress in Polymer Science. 54: 76-127. DOI: 10.1016/J.Progpolymsci.2015.10.006  0.63
2015 Li X, Fan Y, Xiao S, Peng S, Dong X, Zheng X, Liu CC, Li H, Xiao Z. Decreased platelet 5-hydroxytryptamin (5-HT) levels: a response to antidepressants. Journal of Affective Disorders. 187: 84-90. PMID 26321259 DOI: 10.1016/j.jad.2015.08.025  0.397
2015 Hirahara E, Paunescu M, Polishchuk O, Jeong E, Ng E, Shan J, Kim J, Hong S, Baskaran D, Lin G, Vora A, Tjio M, Arellano N, Rettner CT, Lofano E, ... Liu CC, et al. Directed self-assembly of topcoat-free, integration-friendly high-χ block copolymers Proceedings of Spie - the International Society For Optical Engineering. 9425. DOI: 10.1117/12.2087398  0.507
2015 Cheng J, Doerk GS, Rettner CT, Singh G, Tjio M, Truong H, Arellano N, Balakrishnan S, Brink M, Tsai H, Liu CC, Guillorn M, Sanders DP. Customization and design of directed self-assembly using hybrid prepatterns Proceedings of Spie - the International Society For Optical Engineering. 9423. DOI: 10.1117/12.2086973  0.428
2015 Liu CC, Lie FL, Rastogi V, Franke E, Mohanty N, Farrell R, Tsai H, Lai K, Ozlem M, Cho W, Jung SG, Strane J, Somervell M, Burns S, Felix N, et al. Fin formation using graphoepitaxy DSA for FinFET device fabrication Proceedings of Spie - the International Society For Optical Engineering. 9423. DOI: 10.1117/12.2086053  0.413
2015 Torres JA, Jiang F, Ma Y, Mellman J, Lai K, Raghunathan A, Xu Y, Liu CC, Chi C. Directed self assembly on resist-limited guiding patterns for hole grapho-epitaxy: Can DSA help lower EUV's source power requirements? Proceedings of Spie - the International Society For Optical Engineering. 9422. DOI: 10.1117/12.2085959  0.445
2015 Mi H, Mikael S, Liu CC, Seo JH, Gui G, Ma AL, Nealey PF, Ma Z. Creating periodic local strain in monolayer graphene with nanopillars patterned by self-assembled block copolymer Applied Physics Letters. 107. DOI: 10.1063/1.4932657  0.524
2015 Li X, Fan Y, Xiao S, Peng S, Dong X, Zheng X, Liu CC, Li H, Xiao Z. Decreased platelet 5-hydroxytryptamin (5-HT) levels: A response to antidepressants Journal of Affective Disorders. 187: 84-90. DOI: 10.1016/j.jad.2015.08.025  0.395
2014 Tsai H, Pitera JW, Miyazoe H, Bangsaruntip S, Engelmann SU, Liu CC, Cheng JY, Bucchignano JJ, Klaus DP, Joseph EA, Sanders DP, Colburn ME, Guillorn MA. Two-dimensional pattern formation using graphoepitaxy of PS-b-PMMA block copolymers for advanced FinFET device and circuit fabrication. Acs Nano. 8: 5227-32. PMID 24670216 DOI: 10.1021/Nn501300B  0.456
2014 Lai K, Ozlem M, Pitera JW, Liu CC, Schepis A, Dechene D, Krasnoperova A, Brue D, Abdallah J, Tsai H, Guillorn M, Cheng J, Doerk G, Tjio M, Topalogu R, et al. Computational lithography platform for 193i-guided directed self-assembly Proceedings of Spie - the International Society For Optical Engineering. 9052. DOI: 10.1117/12.2046920  0.313
2014 Liu CC, Estrada-Raygoza C, He H, Cicoria M, Rastogi V, Mohanty N, Tsai H, Schepis A, Lai K, Chao R, Liu D, Guillorn M, Cantone J, Mignot S, Kim RH, et al. Towards electrical testable soi devices using directed self-assembly for fin formation Proceedings of Spie - the International Society For Optical Engineering. 9049. DOI: 10.1117/12.2046462  0.35
2013 Doerk GS, Liu CC, Cheng JY, Rettner CT, Pitera JW, Krupp LE, Topuria T, Arellano N, Sanders DP. Pattern placement accuracy in block copolymer directed self-assembly based on chemical epitaxy. Acs Nano. 7: 276-85. PMID 23199006 DOI: 10.1021/Nn303974J  0.481
2013 Tsai H, Guillorn M, Doerk G, Cheng J, Sanders D, Lai K, Liu C, Colburn M. Directed self-assembly for ever-smaller printed circuits Spie Newsroom. DOI: 10.1117/2.1201303.004743  0.371
2013 Lai K, Liu CC, Pitera J, Dechene DJ, Schepis A, Abdallah J, Tsai H, Guillorn M, Cheng J, Doerk G, Tjio M, Rettner C, Odesanya O, Ozlem M, Lafferty N. Computational aspects of optical lithography extension by Directed Self-Assembly Proceedings of Spie - the International Society For Optical Engineering. 8683. DOI: 10.1117/12.2012440  0.362
2013 Liu CC, Estrada-Raygoza IC, Abdallah J, Holmes S, Yin Y, Schepis A, Cicoria M, Hetzer D, Tsai H, Guilllorn M, Tjio M, Cheng J, Somervell M, Colburn M. Directed self-assembly process implementation in a 300mm pilot line environment Proceedings of Spie - the International Society For Optical Engineering. 8680. DOI: 10.1117/12.2011610  0.416
2013 Tsai HY, Miyazoe H, Engelmann S, Liu CC, Gignac L, Bucchignano J, Klaus D, Breslin C, Joseph E, Cheng J, Sanders D, Guillorn M. Pattern transfer of directed self-assembly patterns for CMOS device applications Journal of Micro/Nanolithography, Mems, and Moems. 12. DOI: 10.1117/1.Jmm.12.4.041305  0.459
2013 Liu CC, Ramírez-Hernández A, Han E, Craig GSW, Tada Y, Yoshida H, Kang H, Ji S, Gopalan P, De Pablo JJ, Nealey PF. Chemical patterns for directed self-assembly of lamellae-forming block copolymers with density multiplication of features Macromolecules. 46: 1415-1424. DOI: 10.1021/Ma302464N  0.653
2012 Onses MS, Liu CC, Thode CJ, Nealey PF. Highly selective immobilization of Au nanoparticles onto isolated and dense nanopatterns of poly(2-vinyl pyridine) brushes down to single-particle resolution. Langmuir : the Acs Journal of Surfaces and Colloids. 28: 7299-307. PMID 22497347 DOI: 10.1021/La300552W  0.735
2012 Liu CC, Pitera J, Lafferty N, Lai K, Rettner C, Tjio M, Arellano N, Cheng J. Progress towards the integration of optical proximity correction and directed self-assembly of block copolymers with graphoepitaxy Proceedings of Spie - the International Society For Optical Engineering. 8323. DOI: 10.1117/12.916525  0.365
2012 Doerk GS, Liu CC, Cheng JY, Rettner CT, Pitera JW, Krupp L, Topuria T, Arellano N, Sanders DP. Measurement of placement error between self-assembled polymer patterns and guiding chemical prepatterns Proceedings of Spie - the International Society For Optical Engineering. 8323. DOI: 10.1117/12.916421  0.427
2012 Tsai HY, Miyazoe H, Engelmann S, To B, Sikorski E, Bucchignano J, Klaus D, Liu CC, Cheng J, Sanders D, Fuller N, Guillorn M. Sub-30 nm pitch line-space patterning of semiconductor and dielectric materials using directed self-assembly Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 30. DOI: 10.1116/1.4767237  0.47
2012 Peter Yang CY, Yang EL, Steinhaus CA, Liu CC, Nealey PF, Skinner JL. Planar-localized surface plasmon resonance device by block-copolymer and nanoimprint lithography fabrication methods Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 30. DOI: 10.1116/1.3683475  0.544
2011 Onses MS, Pathak P, Liu CC, Cerrina F, Nealey PF. Localization of multiple DNA sequences on nanopatterns. Acs Nano. 5: 7899-909. PMID 21899356 DOI: 10.1021/Nn2021277  0.712
2011 Ji S, Nagpal U, Liao W, Liu CC, de Pablo JJ, Nealey PF. Three-dimensional directed assembly of block copolymers together with two-dimensional square and rectangular nanolithography. Advanced Materials (Deerfield Beach, Fla.). 23: 3692-7. PMID 21735489 DOI: 10.1002/Adma.201101813  0.657
2011 Päivänranta B, Sahoo PK, Tocce E, Auzelyte V, Ekinci Y, Solak HH, Liu CC, Stuen KO, Nealey PF, David C. Nanofabrication of broad-band antireflective surfaces using self-assembly of block copolymers. Acs Nano. 5: 1860-4. PMID 21323325 DOI: 10.1021/Nn103361D  0.75
2011 Liu CC, Thode CJ, Rincon Delgadillo PA, Craig GSW, Nealey PF, Gronheid R. Towards an all-track 300 mm process for directed self-assembly Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 29. DOI: 10.1116/1.3644341  0.595
2011 Ji S, Liu CC, Liao W, Fenske AL, Craig GSW, Nealey PF. Domain orientation and grain coarsening in cylinder-forming poly(styrene-b-methyl methacrylate) films Macromolecules. 44: 4291-4300. DOI: 10.1021/Ma2005734  0.588
2011 Liu CC, Han E, Onses MS, Thode CJ, Ji S, Gopalan P, Nealey PF. Fabrication of lithographically defined chemically patterned polymer brushes and mats Macromolecules. 44: 1876-1885. DOI: 10.1021/Ma102856T  0.755
2011 Onses MS, Thode CJ, Liu CC, Ji S, Cook PL, Himpsel FJ, Nealey PF. Site-specific placement of Au nanoparticles on chemical nanopatterns prepared by molecular transfer printing using block-copolymer films Advanced Functional Materials. 21: 3074-3082. DOI: 10.1002/Adfm.201100300  0.778
2010 Han E, Kang H, Liu CC, Nealey PF, Gopalan P. Graphoepitaxial assembly of symmetric block copolymers on weakly preferential substrates. Advanced Materials (Deerfield Beach, Fla.). 22: 4325-9. PMID 20806266 DOI: 10.1002/Adma.201001669  0.625
2010 Ji S, Liu CC, Liu G, Nealey PF. Molecular transfer printing using block copolymers. Acs Nano. 4: 599-609. PMID 20041629 DOI: 10.1021/nn901342j  0.711
2010 Hong AJ, Liu CC, Wang Y, Kim J, Xiu F, Ji S, Zou J, Nealey PF, Wang KL. Metal nanodot memory by self-assembled block copolymer lift-off. Nano Letters. 10: 224-9. PMID 19957954 DOI: 10.1021/Nl903340A  0.483
2010 Ting YH, Liu CC, Park SM, Jiang H, Nealey PF, Wendt AE. Surface roughening of polystyrene and poly(methyl methacrylate) in Ar/O2 plasma etching Polymers. 2: 649-663. DOI: 10.3390/Polym2040649  0.588
2010 Jha S, Liu CC, Park JH, Wiedmann MK, Kuan TS, Babcock SE, Mawst LJ, Nealey PF, Kuech TF. Block copolymer templating for formation of quantum dots and lattice-mismatched semiconductor structures Materials Research Society Symposium Proceedings. 1258: 187-192. DOI: 10.1557/Proc-1258-Q13-05  0.491
2010 Liu CC, Nealey PF, Raub AK, Hakeem PJ, Brueck SRJ, Han E, Gopalan P. Integration of block copolymer directed assembly with 193 immersion lithography Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: C6B30-C6B34. DOI: 10.1116/1.3501348  0.647
2010 Yang EL, Liu CC, Yang CYP, Steinhaus CA, Nealey PF, Skinner JL. Nanofabrication of surface-enhanced Raman scattering device by an integrated block-copolymer and nanoimprint lithography method Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: C6M93-C6M97. DOI: 10.1116/1.3501341  0.548
2010 Sun HS, Kang H, Liu CC, Nealey PF, Char K. Orientation of block copolymer resists on interlayer dielectrics with tunable surface energy Macromolecules. 43: 461-466. DOI: 10.1021/Ma901987Q  0.587
2010 Liu CC, Craig GSW, Kang H, Ruiz R, Nealey PF, Ferrier NJ. Practical implementation of order parameter calculation for directed assembly of block copolymer thin films Journal of Polymer Science, Part B: Polymer Physics. 48: 2589-2603. DOI: 10.1002/Polb.22114  0.636
2009 Hirai T, Leolukman M, Liu CC, Han E, Kim YJ, Ishida Y, Hayakawa T, Kakimoto MA, Nealey PF, Gopalan P. One-Step Direct-Patterning Template Utilizing Self-Assembly of POSS-Containing Block Copolymers. Advanced Materials (Deerfield Beach, Fla.). 21: 4334-8. PMID 26042939 DOI: 10.1002/Adma.200900518  0.614
2009 Morin SA, La YH, Liu CC, Streifer JA, Hamers RJ, Nealey PF, Jin S. Assembly of nanocrystal arrays by block-copolymer-directed nucleation. Angewandte Chemie (International Ed. in English). 48: 2135-9. PMID 19199322 DOI: 10.1002/Anie.200805471  0.616
2009 Park JH, Liu CC, Rathi MK, Mawst LJ, Nealey PF, Kuech TF. Nanoscale selective growth and optical characteristics of quantum dots on III-V substrates prepared by diblock copolymer nanopatterning Journal of Nanophotonics. 3. DOI: 10.1117/1.3085990  0.571
2009 Han E, Stuen KO, Leolukman M, Liu CC, Nealey PF, Gopalan P. Perpendicular orientation of domains in cylinder-forming block copolymer thick films by controlled interfacial interactions Macromolecules. 42: 4896-4901. DOI: 10.1021/Ma9002903  0.789
2008 Stuen KO, Liu C, Welander AM, Liu G, De Pablo JJ, Nealey PF, Satapathy DK, Nygrd K, Bunk O, Solak HH, Van Der Veen JF. In situ characterization of block copolymer ordering on chemically nanopatterned surfaces by time-resolved small angle x-ray scattering Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 2504-2508. DOI: 10.1116/1.2991977  0.701
2008 Ting YH, Park SM, Liu CC, Liu X, Himpsel FJ, Nealey PF, Wendt AE. Plasma etch removal of poly(methyl methacrylate) in block copolymer lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 1684-1689. DOI: 10.1116/1.2966433  0.656
2008 Ji S, Liu CC, Son JG, Gotrik K, Craig GSW, Gopalan P, Himpsel FJ, Char K, Nealey PF. Generalization of the use of random copolymers to control the wetting behavior of block copolymer films Macromolecules. 41: 9098-9103. DOI: 10.1021/Ma801861H  0.588
2008 Park SM, Craig GSW, Liu CC, La YH, Ferrier NJ, Nealey PF. Characterization of cylinder-forming block copolymers directed to assemble on spotted chemical patterns Macromolecules. 41: 9118-9123. DOI: 10.1021/Ma8009917  0.724
2007 Stoykovich MP, Kang H, Daoulas KCh, Liu G, Liu CC, de Pablo JJ, Müller M, Nealey PF. Directed self-assembly of block copolymers for nanolithography: fabrication of isolated features and essential integrated circuit geometries. Acs Nano. 1: 168-75. PMID 19206647 DOI: 10.1021/Nn700164P  0.787
2007 Liu CC, Nealey PF, Ting YH, Wendt AE. Pattern transfer using poly(styrene-block-methyl methacrylate) copolymer films and reactive ion etching Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 25: 1963-1968. DOI: 10.1116/1.2801884  0.609
Show low-probability matches.