Nelson M. Felix, Ph.D. - Publications

Affiliations: 
2008 Cornell University, Ithaca, NY, United States 
Area:
polymers

68 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Church J, Meli L, Guo J, Burkhardt M, Mack CA, Silva AD, Petrillo KE, Breton MA, Bonam RK, Lallement R, Miller ER, Austin B, Matham S, Felix NM. Fundamental characterization of stochastic variation for improved single-expose extreme ultraviolet patterning at aggressive pitch Journal of Micro-Nanolithography Mems and Moems. 19: 34001. DOI: 10.1117/1.Jmm.19.3.034001  0.325
2019 Silva AD, Meli L, Guo J, Dutta A, Goldfarb DL, Church J, Felix NM. Patterning Material Challenges for Improving EUV Stochastics Journal of Photopolymer Science and Technology. 32: 169-177. DOI: 10.2494/Photopolymer.32.169  0.312
2019 Engelmann S, Wise R, Gronheid R, Felix N. Special Section Guest Editorial: Challenges and Approaches to EUV-Based Patterning for High-Volume Manufacturing Applications Journal of Micro/Nanolithography, Mems, and Moems. 18: 1. DOI: 10.1117/1.Jmm.18.1.011001  0.314
2018 Meli L, Petrillo K, De Silva A, Arnold J, Felix N, Robinson C, Briggs B, Matham S, Mignot Y, Shearer J, Hamieh B, Hontake K, Huli L, Lemley C, Hetzer D, et al. Defect detection strategies and process partitioning for single-expose EUV patterning Journal of Micro/Nanolithography, Mems, and Moems. 18: 1. DOI: 10.1117/1.Jmm.18.1.011006  0.341
2018 De Silva A, Dutta A, Meli L, Yao Y, Mignot Y, Guo J, Felix NM. Inorganic hardmask development for extreme ultraviolet patterning Journal of Micro/Nanolithography, Mems, and Moems. 18: 1. DOI: 10.1117/1.Jmm.18.1.011004  0.37
2018 Raley A, Lee J, Smith JT, Sun X, Farrell RA, Shearer J, Xu Y, Ko A, Metz AW, Biolsi P, Devilliers A, Arnold J, Felix N. Self-aligned blocking integration demonstration for critical sub-30-nm pitch Mx level patterning with EUV self-aligned double patterning Journal of Micro/Nanolithography, Mems, and Moems. 18: 1. DOI: 10.1117/1.Jmm.18.1.011002  0.378
2017 Silva AD, Petrillo K, Meli L, Shearer JC, Beique G, Sun L, Seshadri I, Oh T, Han S, Saulnier N, Lee J, Arnold JC, Hamieh B, Felix NM, Furukawa T, et al. Single-expose patterning development for EUV lithography Proceedings of Spie. 10143. DOI: 10.1117/12.2261216  0.395
2017 Liu C, Franke E, Mignot Y, LeFevre S, Sieg S, Chi C, Meli L, Parnell D, Schmidt K, Sanchez M, Singh L, Furukawa T, Seshadri I, Silva EAD, Tsai H, ... ... Felix N, et al. DSA patterning options for logics and memory applications Proceedings of Spie. 10146: 1014603. DOI: 10.1117/12.2260479  0.307
2017 Chi C, Liu C, Meli L, Guo J, Parnell D, Mignot Y, Schmidt K, Sanchez M, Farrell R, Singh L, Furukawa T, Lai K, Xu Y, Sanders D, Hetzer D, ... ... Felix N, et al. Electrical study of DSA shrink process and CD rectification effect at sub-60nm using EUV test vehicle Proceedings of Spie. 10146. DOI: 10.1117/12.2260454  0.325
2017 Meli L, Petrillo K, Silva AD, Arnold J, Felix N, Johnson R, Murray C, Hubbard A, Durrant D, Hontake K, Huli L, Lemley C, Hetzer D, Kawakami S, Matsunaga K. Driving down defect density in composite EUV patterning film stacks Proceedings of Spie. 10143. DOI: 10.1117/12.2260146  0.347
2017 Koay C, Hamieh B, Felix N, Gaudiello J. Reaching for the true overlay in advanced nodes Proceedings of Spie. 10145. DOI: 10.1117/12.2260007  0.311
2017 Chen X, Gabor A, Samudrala P, Meyers S, Hosler E, Johnson R, Felix N. Mix-and-match considerations for EUV insertion in N7 HVM Proceedings of Spie. 10143. DOI: 10.1117/12.2258674  0.728
2017 Seshadri I, Silva AD, Meli L, Liu C, Chi C, Guo J, Schmidt K, Truang H, Arnold JC, Felix N, Singh L, Furukawa T, Ayothi R, Raley A, Farrell R. Ultrathin EUV patterning stack using polymer brush as an adhesion promotion layer Proceedings of Spie. 10143. DOI: 10.1117/12.2258565  0.436
2017 Silva AD, Seshadri I, Chung K, Arceo A, Meli L, Mendoza B, Sulehria Y, Yao Y, Sunder M, Truong H, Matham S, Bao R, Wu H, Felix NM, Kanakasabapathy S. Development of TiO2 containing hardmasks through PEALD deposition Proceedings of Spie. 10146: 1014615. DOI: 10.1117/12.2258380  0.315
2017 Glodde M, Bruce RL, Hopstaken MJP, Saccomanno MR, Felix N, Petrillo KE, Price B. Unexpected impact of RIE gases on lithographic films Proceedings of Spie. 10146. DOI: 10.1117/12.2258012  0.303
2017 Goldfarb DL, Glodde M, Silva AD, Sheshadri I, Felix NM, Lionti K, Magbitang T. Fundamentals of EUV resist-inorganic hardmask interactions Proceedings of Spie. 10146: 1014607. DOI: 10.1117/12.2257933  0.424
2017 Bruce RL, Fraczak G, Papalia JM, Tsai H, BrightSky M, Miyazoe H, Zhu Y, Engelmann SU, Lung H, Masuda T, Suu K, Liu C, Tang H, Arnold JC, Felix N, et al. Directed self-assembly patterning strategies for phase change memory applications Proceedings of Spie. 10149. DOI: 10.1117/12.2257829  0.354
2017 Felix N, Singh L, Seshadri I, Silva AD, Meli L, Liu C, Chi C, Guo J, Truang H, Schmidt K, Arnold JC, Furukawa T, Ayothi R, Raley A, Farrell R. Ultrathin extreme ultraviolet patterning stack using polymer brush as an adhesion promotion layer Journal of Micro/Nanolithography, Mems, and Moems. 16: 1. DOI: 10.1117/1.Jmm.16.3.033506  0.437
2017 De Silva A, Seshadri I, Chung K, Arceo A, Meli L, Mendoza B, Sulehria Y, Yao Y, Sunder M, Truong H, Matham S, Bao R, Wu H, Felix NM, Kanakasabapathy S. Development of TiO2 containing hardmasks through plasma-enhanced atomic layer deposition Journal of Micro/Nanolithography, Mems, and Moems. 16: 023504. DOI: 10.1117/1.Jmm.16.2.023504  0.314
2016 Felix N, Colburn M, Petrillo K, Saulnier N, Xu Y, Meli L, Silva AD, Seshadri I, Sieg S, Dunn D. Successes and frontiers in extreme UV patterning Spie Newsroom. DOI: 10.1117/2.1201605.006518  0.302
2016 Chao R, Breton M, L'herron B, Mendoza B, Muthinti R, Nelson F, Pena ADL, Le Fl, Miller E, Sieg S, Demarest J, Gin P, Wormington M, Cepler A, Bozdog C, ... ... Felix N, et al. Advanced in-line metrology strategy for self-aligned quadruple patterning Proceedings of Spie. 9778: 977813. DOI: 10.1117/12.2220601  0.375
2016 Muthinti R, Loubet N, Chao R, Ott J, Guillorn M, Felix N, Gaudiello J, Lund P, Cepler A, Sendelbach M, Cohen O, Wolfling S, Bozdog C, Klare M. Advanced in-line optical metrology of sub-10nm structures for gate all around devices (GAA) Proceedings of Spie. 9778: 977810. DOI: 10.1117/12.2220379  0.312
2016 Felix N, Corliss D, Petrillo K, Saulnier N, Xu Y, Meli L, Tang H, Silva AD, Hamieh B, Burkhardt M, Mignot Y, Johnson R, Robinson C, Breton M, Seshadri I, et al. EUV patterning successes and frontiers Proceedings of Spie. 9776. DOI: 10.1117/12.2219894  0.407
2016 Xu Y, Faure T, Viswanathan R, Lobb G, Wistrom R, Burns S, Hu L, Graur I, Bleiman B, Fischer D, Mignot Y, Sakamoto Y, Toda Y, Bolton J, Bailey T, ... Felix N, et al. Lithographic qualification of high-Transmission mask blank for 10nm node and beyond Proceedings of Spie - the International Society For Optical Engineering. 9780. DOI: 10.1117/12.2219778  0.328
2016 Chi C, Liu CC, Meli L, Schmidt K, Xu Y, Desilva EA, Sanchez M, Farrell R, Cottle H, Kawamura D, Singh L, Furukawa T, Lai K, Pitera JW, Sanders D, ... ... Felix N, et al. DSA via hole shrink for advanced node applications Proceedings of Spie - the International Society For Optical Engineering. 9777. DOI: 10.1117/12.2219706  0.373
2016 Liu CCC, Franke E, Lie FL, Sieg S, Tsai H, Lai K, Truong H, Farrell R, Somervell M, Sanders D, Felix N, Guillorn M, Burns S, Hetzer D, Ko A, et al. DSA patterning options for FinFET formation at 7nm node Proceedings of Spie - the International Society For Optical Engineering. 9777. DOI: 10.1117/12.2219670  0.339
2016 Schmidt K, Osaki H, Nishino K, Sanchez M, Liu CC, Furukawa T, Chi C, Pitera J, Felix N, Sanders D. Strategies to enable directed self-Assembly contact hole shrink for tight pitches Proceedings of Spie - the International Society For Optical Engineering. 9777. DOI: 10.1117/12.2219213  0.361
2016 Goldfarb DL, Broadbent W, Wylie M, Felix N, Corliss D. Through-pellicle defect inspection of EUV masks using an ArF-based inspection tool Proceedings of Spie. 9776. DOI: 10.1117/12.2218454  0.307
2016 De Silva A, Seshadri I, Arceo A, Petrillo K, Meli L, Mendoza B, Yao Y, Belyansky M, Halle S, Felix NM. Study of alternate hardmasks for extreme ultraviolet patterning Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 34: 06KG03. DOI: 10.1116/1.4966960  0.39
2015 Saulnier N, Xu Y, Wang W, Sun L, Cheong LL, Lallement R, Beique G, Hamieh B, Arnold JC, Felix N, Colburn M. EUV processing and characterization for BEOL Proceedings of Spie - the International Society For Optical Engineering. 9422. DOI: 10.1117/12.2086126  0.392
2015 Liu CC, Lie FL, Rastogi V, Franke E, Mohanty N, Farrell R, Tsai H, Lai K, Ozlem M, Cho W, Jung SG, Strane J, Somervell M, Burns S, Felix N, et al. Fin formation using graphoepitaxy DSA for FinFET device fabrication Proceedings of Spie - the International Society For Optical Engineering. 9423. DOI: 10.1117/12.2086053  0.359
2015 Petrillo K, Saulnier N, Johnson R, Meli L, Robinson C, Koay CS, Felix N, Corliss D, Colburn M, Saito T, Huli L, Hetzer D, Matsumoto H, Metz A, Hira Y. Towards production ready processing with a state-of-the-art EUV cluster Proceedings of Spie - the International Society For Optical Engineering. 9422. DOI: 10.1117/12.2085894  0.335
2013 Brunner TA, Menon VC, Wong CW, Gluschenkov O, Belyansky MP, Felix NM, Ausschnitt CP, Vukkadala P, Veeraraghavan S, Sinha JK. Characterization of wafer geometry and overlay error on silicon wafers with nonuniform stress Journal of Micro/Nanolithography, Mems, and Moems. 12. DOI: 10.1117/1.Jmm.12.4.043002  0.315
2012 Shayan G, Felix N, Cho Y, Chatzichristidi M, Shuler ML, Ober CK, Lee KH. Synthesis and characterization of high-throughput nanofabricated poly(4-hydroxy styrene) membranes for in vitro models of barrier tissue. Tissue Engineering. Part C, Methods. 18: 667-76. PMID 22435738 DOI: 10.1089/Ten.Tec.2011.0598  0.679
2012 Pike M, Felix N, Menon V, Ausschnitt C, Wiltshire T, Meyers S, Won K, Minghetti B. High order wafer alignment in manufacturing Proceedings of Spie - the International Society For Optical Engineering. 8324. DOI: 10.1117/12.916483  0.35
2011 Felix NM, Gabor AH, Menon VC, Longo PP, Halle SD, Koay CS, Colburn ME. Overlay improvement roadmap: Strategies for scanner control and product disposition for 5-nm overlay Proceedings of Spie - the International Society For Optical Engineering. 7971. DOI: 10.1117/12.879532  0.353
2011 Bratton D, Ayothi R, Felix N, Ober CK. Applications of Controlled Macromolecular Architectures to Lithography Macromolecular Engineering: Precise Synthesis, Materials Properties, Applications. 4: 2295-2330. DOI: 10.1002/9783527631421.ch55  0.36
2010 Felix NM, Gabor AH, Muth WA, Ausschnitt CP. Smaller, smarter, faster, and more accurate: The new overlay metrology Proceedings of Spie - the International Society For Optical Engineering. 7638. DOI: 10.1117/12.848002  0.341
2010 Ausschnitt CP, Brunner TA, Felix NM, Minghetti B. Toward perfect on-wafer pattern placement: Stitched overlay exposure tool characterization Proceedings of Spie - the International Society For Optical Engineering. 7640. DOI: 10.1117/12.846847  0.368
2010 Liegl B, Sapp B, Low K, Greco S, Brunner T, Felix N, Stobert I, Nafisi K, Sarma C. Predicting and reducing substrate induced focus error Proceedings of Spie - the International Society For Optical Engineering. 7640. DOI: 10.1117/12.846768  0.309
2010 Minghetti B, Brunner T, Robinson C, Ausschnitt C, Corliss D, Felix N. Overlay characterization and matching of immersion photoclusters Proceedings of Spie - the International Society For Optical Engineering. 7640. DOI: 10.1117/12.846408  0.315
2010 Liegl B, Sapp B, Greco S, Brunner TA, Felix N, Stobert I, Nafisi K, Sarma C. Predicting substrate-induced focus error Journal of Micro/Nanolithography, Mems, and Moems. 9. DOI: 10.1117/1.3530580  0.312
2009 Wallace WE, Flynn KM, Guttman CM, VanderHart DL, Prabhu VM, De Silva A, Felix NM, Ober CK. Quantitative measurement of the polydispersity in the extent of functionalization of glass-forming calix[4]resorcinarenes. Rapid Communications in Mass Spectrometry : Rcm. 23: 1957-62. PMID 19504485 DOI: 10.1002/Rcm.4099  0.472
2009 Wallace WE, Flynn KM, Guttman CM, VanderHart DL, Prabhu VM, De Silva A, Felix NM, Ober CK. Quantitative measurement of the molecular-mass distribution in Calix[4]resorcinarene molecular glass resists by mass spectrometry Proceedings of Spie - the International Society For Optical Engineering. 7273. DOI: 10.1117/12.813680  0.475
2009 Vanderhart DL, Prabhu VM, De Silva A, Felix NM, Ober CK. Solid state NMR investigation of photoresist molecular glasses including blend behavior with a photoacid generator Journal of Materials Chemistry. 19: 2683-2694. DOI: 10.1039/B816290E  0.486
2009 Tanaka M, Rastogi A, Toepperwein GN, Riggleman RA, Felix NM, De Pablo JJ, Ober CK. Fluorinated quaternary ammonium salts as dissolution aids for polar polymers in environmentally benign supercritical carbon dioxide Chemistry of Materials. 21: 3125-3135. DOI: 10.1021/Cm900406C  0.625
2008 Pfeiffer F, Felix NM, Neuber C, Ober CK, Schmidt HW. Towards environmentally friendly, dry deposited, water developable molecular glass photoresists. Physical Chemistry Chemical Physics : Pccp. 10: 1257-62. PMID 18292859 DOI: 10.1039/B715819J  0.56
2008 Tanaka M, Rastogi A, Felix NM, Ober CK. Supercritical carbon dioxide compatible salts: Synthesis and application to next generation lithography Journal of Photopolymer Science and Technology. 21: 393-396. DOI: 10.2494/Photopolymer.21.393  0.602
2008 Vanderhart DL, De Silva A, Felix N, Prabhu VM, Ober CK. The effect of EUV molecular glass architecture on the bulk dispersion of a photo-acid generator Proceedings of Spie - the International Society For Optical Engineering. 6923. DOI: 10.1117/12.773048  0.513
2008 Woodward JT, Choi KW, Prabhu VM, Kang S, Lavery K, Wu WL, Leeson M, De Silva A, Felix NM, Ober CK. Characterization of the latent image to developed image in model EUV photoresists Proceedings of Spie - the International Society For Optical Engineering. 6923. DOI: 10.1117/12.773036  0.53
2008 Kang S, Lavery K, Choi KW, Prabhu VM, Wu WL, Lin EK, De Silva A, Felix N, Ober C. A comparison of the reaction-diffusion kinetics between model-EUV polymer and molecular-glass photoresists Proceedings of Spie - the International Society For Optical Engineering. 6923. DOI: 10.1117/12.773018  0.55
2008 De Silva A, Felix N, Forman D, Jing S, Ober CK. New architectures for high resolution patterning Proceedings of Spie - the International Society For Optical Engineering. 6923. DOI: 10.1117/12.772667  0.751
2008 Felix NM, De Silva A, Sha J, Ober CK. Achieving small dimensions with an environmentally friendly solvent: Photoresist development using supercritical CO2 Proceedings of Spie - the International Society For Optical Engineering. 6923. DOI: 10.1117/12.772635  0.622
2008 Felix N, Ober CK. Acid-labile, chain-scission polymer systems used as positive-tone photoresists developable in supercritical CO2 Chemistry of Materials. 20: 2932-2936. DOI: 10.1021/Cm703580F  0.497
2008 De Silva A, Lee JK, André X, Felix NM, Cao HB, Deng H, Ober CK. Study of the structure - Properties relationship of phenolic molecular glass resists for next generation photolithography Chemistry of Materials. 20: 1606-1613. DOI: 10.1021/Cm702613N  0.679
2008 De Silva A, Felix NM, Ober CK. Molecular glass resists as high-resolution patterning materials Advanced Materials. 20: 3355-3361. DOI: 10.1002/Adma.200800763  0.581
2008 Felix NM, De Silva A, Ober CK. Calix[4]resorcinarene derivatives as high-resolution resist materials for supercritical CO2 processing Advanced Materials. 20: 1303-1309. DOI: 10.1002/Adma.200702772  0.533
2007 André X, Lee JK, De Silva A, Felix N, Ober CK, Cao HB, Deng H, Kudo H, Watanabe D, Nishikubo T. Phenolic molecular glasses as resists for next generation lithography Proceedings of Spie - the International Society For Optical Engineering. 6519. DOI: 10.1117/12.722919  0.553
2007 Felix NM, De Silva A, Luk CMY, Ober CK. Dissolution phenomena of phenolic molecular glass photoresist films in supercritical CO2 Journal of Materials Chemistry. 17: 4598-4604. DOI: 10.1039/B709649F  0.504
2007 Pfeiffer F, Felix NM, Neuber C, Ober CK, Schmidt HW. Physical vapor deposition of molecular glass photoresists: a new route to chemically amplified patterning Advanced Functional Materials. 17: 2336-2342. DOI: 10.1002/Adfm.200600717  0.531
2006 Ayothi R, Seung WC, Felix N, Cao HB, Deng H, Yueh W, Ober CK. New PFOS free photoresist systems for EUV lithography Journal of Photopolymer Science and Technology. 19: 515-520. DOI: 10.2494/Photopolymer.19.515  0.55
2006 Chang SW, Ayothi R, Bratton D, Yang D, Felix N, Cao HB, Deng H, Ober CK. Sub-50 nm feature sizes using positive tone molecular glass resists for EUV lithography Journal of Materials Chemistry. 16: 1470-1474. DOI: 10.1039/B514065J  0.579
2006 Dai J, Chang SW, Hamad A, Yang D, Felix N, Ober CK. Molecular glass resists for high-resolution patterning Chemistry of Materials. 18: 3404-3411. DOI: 10.1021/Cm052452M  0.761
2006 Mao Y, Felix NM, Nguyen PT, Ober CK, Gleason KK. Positive- And negative-tone CVD polyacrylic electron-beam resists developable by supercritical CO2 Chemical Vapor Deposition. 12: 259-262. DOI: 10.1002/Cvde.200506416  0.533
2006 Felix NM, Tsuchiya K, Ober CK. High-resolution patterning of molecular glasses using supercritical carbon dioxide Advanced Materials. 18: 442-446. DOI: 10.1002/Adma.200501802  0.513
2005 Tsuchiya K, Chang SW, Felix NM, Ueda M, Ober CK. Lithography based on molecular glasses Journal of Photopolymer Science and Technology. 18: 431-434. DOI: 10.2494/Photopolymer.18.431  0.543
2005 Chang SW, Yang D, Dai J, Felix N, Bratton D, Tsuchiya K, Kwark YJ, Bravo JP, Ober CK, Cao HB, Deng H. Materials for future lithography Progress in Biomedical Optics and Imaging - Proceedings of Spie. 5753: 1-9. DOI: 10.1117/12.607235  0.35
2004 Mao Y, Felix NM, Nguyen PT, Ober CK, Gleason KK. Towards all-dry lithography: Electron-beam patternable poly(glycidyl methacrylate) thin films from hot filament chemical vapor deposition Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 22: 2473-2478. DOI: 10.1116/1.1800351  0.511
Show low-probability matches.