Sean D. Burns, Ph.D.

Affiliations: 
2003 University of Texas at Austin, Austin, Texas, U.S.A. 
Area:
design and synthesis of functional organic materials
Google:
"Sean Burns"
Mean distance: 8.45
 
SNBCP

Parents

Sign in to add mentor
C. Grant Willson grad student 2003 UT Austin
 (Understanding fundamental mechanisms of photoresist dissolution.)
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Xu Y, Faure T, Viswanathan R, et al. (2016) Lithographic qualification of high-Transmission mask blank for 10nm node and beyond Proceedings of Spie - the International Society For Optical Engineering. 9780
Liu CCC, Franke E, Lie FL, et al. (2016) DSA patterning options for FinFET formation at 7nm node Proceedings of Spie - the International Society For Optical Engineering. 9777
Guo D, Karve G, Tsutsui G, et al. (2016) FINFET technology featuring high mobility SiGe channel for 10nm and beyond Digest of Technical Papers - Symposium On Vlsi Technology. 2016
Liu CC, Lie FL, Rastogi V, et al. (2015) Fin formation using graphoepitaxy DSA for FinFET device fabrication Proceedings of Spie - the International Society For Optical Engineering. 9423
Seo KI, Haran B, Gupta D, et al. (2014) A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI Digest of Technical Papers - Symposium On Vlsi Technology
Guo D, Shang H, Seo K, et al. (2014) 10nm FINFET technology for low power and high performance applications Proceedings - 2014 Ieee 12th International Conference On Solid-State and Integrated Circuit Technology, Icsict 2014
Mehta SS, Xu Y, Landie G, et al. (2012) Assessment of negative tone development challenges Proceedings of Spie - the International Society For Optical Engineering. 8325
Landie G, Xu Y, Burns S, et al. (2011) Fundamental investigation of Negative Tone Development (NTD) for the 22nm node (and beyond) Proceedings of Spie - the International Society For Optical Engineering. 7972
Kim RH, Koay CS, Burns SD, et al. (2011) Spacer-defined double patterning for 20-nm and beyond logic BEOL technology Proceedings of Spie - the International Society For Optical Engineering. 7973
Holmes SJ, Tang C, Burns S, et al. (2011) Optimization of pitch-split double patterning photoresist for applications at the 16nm node Proceedings of Spie - the International Society For Optical Engineering. 7972
See more...