Sean D. Burns, Ph.D. - Publications

Affiliations: 
2003 University of Texas at Austin, Austin, Texas, U.S.A. 
Area:
design and synthesis of functional organic materials

37 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2016 Xu Y, Faure T, Viswanathan R, Lobb G, Wistrom R, Burns S, Hu L, Graur I, Bleiman B, Fischer D, Mignot Y, Sakamoto Y, Toda Y, Bolton J, Bailey T, et al. Lithographic qualification of high-Transmission mask blank for 10nm node and beyond Proceedings of Spie - the International Society For Optical Engineering. 9780. DOI: 10.1117/12.2219778  0.612
2016 Liu CCC, Franke E, Lie FL, Sieg S, Tsai H, Lai K, Truong H, Farrell R, Somervell M, Sanders D, Felix N, Guillorn M, Burns S, Hetzer D, Ko A, et al. DSA patterning options for FinFET formation at 7nm node Proceedings of Spie - the International Society For Optical Engineering. 9777. DOI: 10.1117/12.2219670  0.753
2016 Guo D, Karve G, Tsutsui G, Lim KY, Robison R, Hook T, Vega R, Liu D, Bedell S, Mochizuki S, Lie F, Akarvardar K, Wang M, Bao R, Burns S, et al. FINFET technology featuring high mobility SiGe channel for 10nm and beyond Digest of Technical Papers - Symposium On Vlsi Technology. 2016. DOI: 10.1109/VLSIT.2016.7573360  0.589
2015 Liu CC, Lie FL, Rastogi V, Franke E, Mohanty N, Farrell R, Tsai H, Lai K, Ozlem M, Cho W, Jung SG, Strane J, Somervell M, Burns S, Felix N, et al. Fin formation using graphoepitaxy DSA for FinFET device fabrication Proceedings of Spie - the International Society For Optical Engineering. 9423. DOI: 10.1117/12.2086053  0.769
2014 Seo KI, Haran B, Gupta D, Guo D, Standaert T, Xie R, Shang H, Alptekin E, Bae DI, Bae G, Boye C, Cai H, Chanemougame D, Chao R, Cheng K, ... ... Burns S, et al. A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI Digest of Technical Papers - Symposium On Vlsi Technology. DOI: 10.1109/VLSIT.2014.6894342  0.603
2014 Guo D, Shang H, Seo K, Haran B, Standaert T, Gupta D, Alptekin E, Bae D, Bae G, Chanemougame D, Cheng K, Cho J, Hamieh B, Hong J, Hook T, ... ... Burns S, et al. 10nm FINFET technology for low power and high performance applications Proceedings - 2014 Ieee 12th International Conference On Solid-State and Integrated Circuit Technology, Icsict 2014. DOI: 10.1109/ICSICT.2014.7021207  0.583
2012 Mehta SS, Xu Y, Landie G, Chauhan V, Burns SD, Lawson P, Hamieh B, Wandel J, Glodde M, Sun YY, Kelling M, Thomas A, Kim JS, Chen J, Kato H, et al. Assessment of negative tone development challenges Proceedings of Spie - the International Society For Optical Engineering. 8325. DOI: 10.1117/12.917560  0.64
2011 Landie G, Xu Y, Burns S, Yoshimoto K, Burkhardt M, Zhuang L, Petrillo K, Meiring J, Goldfarb D, Glodde M, Scaduto A, Colburn M, Desisto J, Bae Y, Reilly M, et al. Fundamental investigation of Negative Tone Development (NTD) for the 22nm node (and beyond) Proceedings of Spie - the International Society For Optical Engineering. 7972. DOI: 10.1117/12.882843  0.78
2011 Kim RH, Koay CS, Burns SD, Yin Y, Arnold JC, Waskiewicz C, Mehta S, Burkhardt M, Colburn ME, Levinson HJ. Spacer-defined double patterning for 20-nm and beyond logic BEOL technology Proceedings of Spie - the International Society For Optical Engineering. 7973. DOI: 10.1117/12.881701  0.65
2011 Holmes SJ, Tang C, Burns S, Yin Y, Chen R, Koay CS, Kini S, Tomizawa H, Chen ST, Fender N, Osborn B, Singh L, Petrillo K, Landie G, Halle S, et al. Optimization of pitch-split double patterning photoresist for applications at the 16nm node Proceedings of Spie - the International Society For Optical Engineering. 7972. DOI: 10.1117/12.881489  0.786
2011 Buengener R, Boye C, Rhoads BN, Chong SY, Tejwani C, Burns SD, Stamper AD, Nafisi K, Brodsky CJ, Fan SS, Kini S, Hahn R. Process window centering for 22 nm lithography Ieee Transactions On Semiconductor Manufacturing. 24: 165-172. DOI: 10.1109/TSM.2011.2106807  0.734
2011 Tomizawa H, Chen ST, Horak D, Kato H, Yin Y, Ishikawa M, Kelly J, Koay CS, Landie G, Burns S, Tsumura K, Tagami M, Shobha H, Sankarapandian M, Van Der Straten O, et al. Robust self-aligned via process for 64nm pitch Dual-Damascene interconnects using pitch split double exposure patterning scheme 2011 Ieee International Interconnect Technology Conference and 2011 Materials For Advanced Metallization, Iitc/Mam 2011. DOI: 10.1109/IITC.2011.5940305  0.628
2011 Chen ST, Tomizawa H, Tsumura K, Tagami M, Shobha H, Sankarapandian M, Van Der Straten O, Kelly J, Canaperi D, Levin T, Cohen S, Yin Y, Horak D, Ishikawa M, Mignot Y, ... ... Burns S, et al. 64 nm pitch Cu dual-damascene interconnects using pitch split double exposure patterning scheme 2011 Ieee International Interconnect Technology Conference and 2011 Materials For Advanced Metallization, Iitc/Mam 2011. DOI: 10.1109/IITC.2011.5940273  0.583
2011 Holmes SJ, Tang C, Burns S, Yin Y, Chen R, Koay CS, Kini S, Tomizawa H, Chen ST, Fender N, Osborn B, Singh L, Petrillo K, Landie G, Halle S, et al. Optimization of pitch-split double patterning phoresist for applications at the 16nm node Asmc (Advanced Semiconductor Manufacturing Conference) Proceedings. DOI: 10.1109/ASMC.2011.5898203  0.768
2010 Wood O, Koay CS, Petrillo K, Mizuno H, Raghunathan S, Arnold J, Horak D, Burkhardt M, Mcintyre G, Deng Y, La Fontaine B, Okoroanyanwu U, Wallow T, Landie G, Standaert T, ... Burns S, et al. EUV lithography at the 22nm technology node Proceedings of Spie - the International Society For Optical Engineering. 7636. DOI: 10.1117/12.847049  0.634
2010 Koay CS, Holmes S, Petrillo K, Colburn M, Burns S, Dunn S, Cantone J, Hetzer D, Kawakami S, Van Dommelen Y, Jiang A, Many M, Routh R, Huli L, Martinick B, et al. Evaluation of double-patterning techniques for advanced logic nodes Proceedings of Spie - the International Society For Optical Engineering. 7640. DOI: 10.1117/12.846769  0.645
2009 Burkharde M, Arnold JC, Baum Z, Burns S, Change J, Chen J, Cho J, Dai V, Deng Y, Halley S, Han G, Holmes S, Horak D, Kanakasabapathy S, Kim RH, et al. Overcoming the challenges of 22-nm node patterning through litho-design co-optimization Proceedings of Spie - the International Society For Optical Engineering. 7274. DOI: 10.1117/12.814433  0.631
2008 Lai K, Burns S, Halle S, Zhuang L, Colburn M, Allen S, Babeock C, Baum Z, Burkhardt M, Dai V, Dunn D, Geiss E, Haffner H, Han G, Lawson P, et al. 32 NM Logic patterning options with immersion lithography Proceedings of Spie - the International Society For Optical Engineering. 6924. DOI: 10.1117/12.784107  0.795
2008 Haran BS, Kumar A, Adam L, Chang J, Basker V, Kanakasabapathy S, Horak D, Fan S, Chen J, Faltermeier J, Seo S, Burkhardt M, Burns S, Halle S, Holmes S, et al. 22 nm technology compatible fully functional 0.1 μm 2 6T-sram cell Technical Digest - International Electron Devices Meeting, Iedm. DOI: 10.1109/IEDM.2008.4796769  0.596
2005 Johnson S, Burns R, Kim EK, Dickey M, Schmid G, Meiring J, Burns S, Willson CG, Convey D, Wei Y, Fejes P, Gehoski K, Mancini D, Nordquist K, Dauksher WJ, et al. Effects of etch barrier densification on step and flash imprint lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 23: 2553-2556. DOI: 10.1116/1.2102971  0.737
2004 Johnson S, Burns R, Kim EK, Schmid G, Dickey M, Meiring J, Burns S, Stacey N, Willson CG, Convey D, Wei Y, Fejes P, Gehoski K, Mancini D, Nordquist K, et al. Step and Flash Imprint Lithography modeling and process development Journal of Photopolymer Science and Technology. 17: 417-419. DOI: 10.2494/Photopolymer.17.417  0.754
2004 Schmid GM, Stewart MD, Burns SD, Willson CG. Mesoscale Monte Carlo Simulation of Photoresist Processing Journal of the Electrochemical Society. 151: G155-G161. DOI: 10.1149/1.1637359  0.771
2004 Skordas S, Burns RL, Goldfarb DL, Burns SD, Angelopoulos M, Brodsky CJ, Lawson MC, Pillette C, Bright JJ, Isaacson RL, Lagus ME, Vishnu V. Rinse additives for defect suppression in 193 nm and 248 nm lithography Proceedings of Spie - the International Society For Optical Engineering. 5376: 471-481. DOI: 10.1117/12.537764  0.767
2004 Goldfarb DL, Burns SD, Burns RL, Brodsky CJ, Lawson MC, Angelopoulos M. Rinse additives for line edge roughness control in 193 nm lithography Proceedings of Spie - the International Society For Optical Engineering. 5376: 343-351. DOI: 10.1117/12.537723  0.761
2004 Burns RL, Johnson SC, Schmid GM, Kim EK, Dickey MD, Meiring J, Burns SD, Stacey NA, Willson CG, Convey D, Wei Y, Fejes P, Gehoski K, Mancini D, Nordquist K, et al. Mesoscale modeling for SFIL simulating polymerization kinetics and densification Proceedings of Spie - the International Society For Optical Engineering. 5374: 348-360. DOI: 10.1117/12.536216  0.731
2004 Taylor JC, Chambers CR, Deschner R, Lesuer RJ, Conley W, Burns SD, Willson CG. Implications of immersion lithography on 193nm photoresists Proceedings of Spie - the International Society For Optical Engineering. 5376: 34-43. DOI: 10.1117/12.535875  0.599
2004 Burns RL, Steel KM, Burns SD, Koros WJ. Explanation of a selectivity maximum, as a function of the material structure for organic gas separation membranes Industrial and Engineering Chemistry Research. 43: 5942-5949. DOI: 10.1021/Ie049800Z  0.583
2003 Burns S, Schmid G, Trinque B, Willson J, Wunderlich J, Tsiartas P, Taylor JC, Burns R, Willson CG. A fundamental study of photoresist dissolution with real time spectroscopic ellipsometry and interferometry Proceedings of Spie - the International Society For Optical Engineering. 5039: 1063-1075. DOI: 10.1117/12.485182  0.725
2002 Lin EK, Soles CL, Goldfarb DL, Trinque BC, Burns SD, Jones RL, Lenhart JL, Angelopoulos M, Willson CG, Satija SK, Wu WL. Direct measurement of the reaction front in chemically amplified photoresists. Science (New York, N.Y.). 297: 372-5. PMID 12130778 DOI: 10.1126/Science.1072092  0.753
2002 Schmid GM, Burns SD, Stewart MD, Willson CG. Mesoscale simulation of positive tone chemically amplified photoresists Proceedings of Spie - the International Society For Optical Engineering. 4690: 381-390. DOI: 10.1117/12.474237  0.632
2002 Lin EK, Soles CL, Goldfarb DL, Trinque BC, Burns SD, Jones RL, Lenhart JL, Angelopoulos M, Willson CG, Satija SK, Wu WL. Measurement of the spatial evolution of the deprotection reaction front with nanometer resolution using neutron reflectometry Proceedings of Spie - the International Society For Optical Engineering. 4690: 313-320. DOI: 10.1117/12.474229  0.695
2002 Schmid GM, Burns SD, Tsiartas PC, Willson CG. Electrostatic effects during dissolution of positive tone photoresists Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 2913-2919. DOI: 10.1116/1.1521735  0.672
2002 Burns SD, Schmid GM, Tsiartas PC, Willson CG, Flanagin L. Advancements to the critical ionization dissolution model Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 537-543. DOI: 10.1116/1.1450593  0.68
2001 Burns SD, Gardiner AB, Krukonis VJ, Wetmore PM, Lutkenhaus J, Schmid GM, Flanagin LW, Willson CG. Understanding nonlinear dissolution rates in photoresists Proceedings of Spie - the International Society For Optical Engineering. 4345: 37-49. DOI: 10.1117/12.436876  0.543
2001 Hall DS, Osborn B, Patterson K, Burns SD, Grant Willson C. Dissolution behavior of fluoroalcohol substituted polystyrenes Proceedings of Spie - the International Society For Optical Engineering. 4345: 1066-1072. DOI: 10.1117/12.436831  0.664
2001 Schmid GM, Smith MD, Mack CA, Singh VK, Burns SD, Grant Willson C. Understanding molecular level effects during post exposure processing Proceedings of Spie - the International Society For Optical Engineering. 4345: 1037-1047. DOI: 10.1117/12.436829  0.638
2001 Gardiner AB, Burns S, Qin A, Willson CG. Determination of residual casting solvent concentration gradients in resist films by a `halt development' technique Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 19: 136-141. DOI: 10.1116/1.1343096  0.509
Show low-probability matches.