Todd C. Bailey, Ph.D. - Publications

Affiliations: 
2003 University of Texas at Austin, Austin, Texas, U.S.A. 
Area:
design and synthesis of functional organic materials

25 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2015 Okai N, Lavigne E, Hitomi K, Halle S, Hotta S, Koshihara S, Tanaka J, Bailey T. Methodology for determining CD-SEM measurement condition of sub-20nm resist patterns for 0.33NA EUV lithography Proceedings of Spie - the International Society For Optical Engineering. 9424. DOI: 10.1117/12.2175841  0.324
2011 Sarma C, Bailey T, Lyons A, Shao D. 3-D lithography modeling for ground rule development Proceedings of Spie - the International Society For Optical Engineering. 7973. DOI: 10.1117/12.879213  0.306
2005 Wu K, Bailey TC, Willson CG, Ekerdt JG. Surface hydration and its effect on fluorinated SAM formation on SiO2 surfaces. Langmuir : the Acs Journal of Surfaces and Colloids. 21: 11795-801. PMID 16316116 DOI: 10.1021/La0516330  0.514
2003 Resnick DJ, Dauksher WJ, Mancini D, Nordquist KJ, Bailey TC, Johnson S, Stacey N, Ekerdt JG, Willson CG, Sreenivasan SV, Schumaker N. Imprint lithography: Lab curiosity or the real NGL? Proceedings of Spie - the International Society For Optical Engineering. 5037: 12-23. DOI: 10.1117/12.490126  0.302
2003 Johnson SC, Bailey TC, Dickey MD, Smith BJ, Kim EK, Jamieson AT, Stacey NA, Ekerdt JG, Willson CG, Mancini DP, Dauksher WJ, Nordquist KJ, Resnick DJ. Advances in step and flash imprint lithography Proceedings of Spie - the International Society For Optical Engineering. 5037: 197-202. DOI: 10.1117/12.484985  0.665
2003 Chang CH, Heilmann RK, Fleming RC, Carter J, Murphy E, Schattenburg ML, Bailey TC, Ekerdt JG, Frankel RD, Voisin R. Fabrication of sawtooth diffraction gratings using nanoimprint lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 21: 2755-2759. DOI: 10.1116/1.1627814  0.332
2003 Resnick DJ, Dauksher WJ, Mancini D, Nordquist KJ, Bailey TC, Johnson S, Stacey N, Ekerdt JG, Willson CG, Sreenivasan SV, Schumaker N. Imprint lithography for integrated circuit fabrication Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 21: 2624-2631. DOI: 10.1116/1.1618238  0.473
2003 Resnick DJ, Mancini D, Dauksher WJ, Nordquist K, Bailey TC, Johnson S, Sreenivasan SV, Ekerdt JG, Willson CG. Improved step and flash imprint lithography templates for nanofabrication Microelectronic Engineering. 69: 412-419. DOI: 10.1016/S0167-9317(03)00329-0  0.568
2003 Johnson S, Resnick DJ, Mancini D, Nordquist K, Dauksher WJ, Gehoski K, Baker JH, Dues L, Hooper A, Bailey TC, Sreenivasan SV, Ekerdt JG, Willson CG. Fabrication of multi-tiered structures on step and flash imprint lithography templates Microelectronic Engineering. 67: 221-228. DOI: 10.1016/S0167-9317(03)00075-3  0.53
2002 Bailey TC, Johnson SC, Sreenivasan SV, Ekerdt JG, Willson CG, Resnick DJ. Step and flash imprint lithography: An efficient nanoscale printing technology Journal of Photopolymer Science and Technology. 15: 481-486. DOI: 10.2494/Photopolymer.15.481  0.497
2002 Resnick DJ, Bailey TC, Mancini D, Nordquist KJ, Dauksher WJ, Ainley E, Talin A, Gehoski K, Baker JH, Choi BJ, Johnson S, Colburn M, Meissl M, Sreenivasan SV, Ekerdt JG, et al. New methods for fabricating step and flash imprint lithography templates Proceedings of Spie - the International Society For Optical Engineering. 4608: 176-181. DOI: 10.1117/12.437269  0.706
2002 Resnick DJ, Dauksher WJ, Mancini D, Nordquist KJ, Ainley E, Gehoski K, Baker JH, Bailey TC, Choi BJ, Johnson S, Sreenivasan SV, Ekerdt JG, Willson CG. High resolution templates for step and flash imprint lithography Journal of Microlithography, Microfabrication and Microsystems. 1: 284-289. DOI: 10.1117/1.1508410  0.576
2002 Dauksher WJ, Nordquist KJ, Mancini DP, Resnick DJ, Baker JH, Hooper AE, Talin AA, Bailey TC, Lemonds AM, Sreenivasan SV, Ekerdt JG, Willson CG. Characterization of and imprint results using indium tin oxide-based step and flash imprint lithography templates Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 2857-2861. DOI: 10.1116/1.1520575  0.386
2002 Mancini DP, Gehoski KA, Ainley E, Nordquist KJ, Resnick DJ, Bailey TC, Sreenivasan SV, Ekerdt JG, Willson CG. Hydrogen silsesquioxane for direct electron-beam patterning of step and flash imprint lithography templates Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 2896-2901. DOI: 10.1116/1.1515311  0.532
2002 Bailey TC, Resnick DJ, Mancini D, Nordquist KJ, Dauksher WJ, Ainley E, Talin A, Gehoski K, Baker JH, Choi BJ, Johnson S, Colburn M, Meissl M, Sreenivasan SV, Ekerdt JG, et al. Template fabrication schemes for step and flash imprint lithography Microelectronic Engineering. 61: 461-467. DOI: 10.1016/S0167-9317(02)00462-8  0.722
2001 Choi BJ, Meissl M, Colburn M, Bailey T, Ruchhoeft P, Sreenivasan SV, Prins F, Banerjee S, Ekerdt JG, Willson CG. Layer-to-layer alignment for step and flash imprint lithography Proceedings of Spie - the International Society For Optical Engineering. 4343: 436-442. DOI: 10.1117/12.436662  0.686
2001 Bailey T, Smith B, Choi BJ, Colburn M, Meissl M, Sreenivasan SV, Ekerdt JG, Willson CG. Step and flash imprint lithography: Defect analysis Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 19: 2806-2810. DOI: 10.1116/1.1420203  0.624
2001 Colburn M, Suez I, Choi BJ, Meissl M, Bailey T, Sreenivasan SV, Ekerdt JG, Willson CG. Characterization and modeling of volumetric and mechanical properties for step and flash imprint lithography photopolymers Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 19: 2685-2689. DOI: 10.1116/1.1420199  0.707
2001 Colburn M, Grot A, Choi BJ, Amistoso M, Bailey T, Sreenivasan SV, Ekerdt JG, Willson CG. Patterning nonflat substrates with a low pressure, room temperature, imprint lithography process Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 19: 2162-2172. DOI: 10.1116/1.1417543  0.731
2001 Colburn M, Bailey T, Choi BJ, Ekerdt JG, Sreenivasan SV, Willson CG. Development and advantages of step-and-flash lithography Solid State Technology. 44: 67-77.  0.46
2000 Bailey T, Choi BJ, Colburn M, Meissl M, Shaya S, Ekerdt JG, Sreenivasan SV, Willson CG. Step and flash imprint lithography: Template surface treatment and defect analysis Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 18: 3572-3577. DOI: 10.1116/1.1324618  0.685
2000 Colburn M, Grot A, Amistoso M, Choi BJ, Bailey T, Ekerdt J, Sreenivasan SV, Hollenhorst J, Willson CG. Step and flash imprint lithography for sub-100 nm patterning Proceedings of Spie - the International Society For Optical Engineering. 3997: 453-457.  0.485
1999 Ruchhoeft P, Colburn M, Choi B, Nounu H, Johnson S, Bailey T, Damle S, Stewart M, Ekerdt J, Sreenivasan SV, Wolfe JC, Willson CG. Patterning curved surfaces: Template generation by ion beam proximity lithography and relief transfer by step and flash imprint lithography Journal of Vacuum Science & Technology B. 17: 2965-2969. DOI: 10.1116/1.590935  0.751
1999 Ruchhoeft P, Colburn M, Choi B, Nounu H, Johnson S, Bailey T, Damle S, Stewart M, Ekerdt J, Sreenivasan SV, Wolfe JC, Willson CG. Patterning curved surfaces: Template generation by ion beam proximity lithography and relief transfer by step and flash imprint lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 17: 2965-2969.  0.715
1999 Colburn M, Johnson S, Stewart M, Damle S, Bailey T, Choi B, Wedlake M, Michaelson T, Sreenivasan SV, Ekerdt J, Willson CG. Step and flash imprint lithography: A new approach to high-resolution patterning Proceedings of Spie - the International Society For Optical Engineering. 3676: 379-389.  0.702
Show low-probability matches.