Jason E. Meiring, Ph.D. - Publications

Affiliations: 
2005 University of Texas at Austin, Austin, Texas, U.S.A. 
Area:
design and synthesis of functional organic materials

18/25 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2013 Hamieh B, Choi HC, Erenturk B, Guo W, Hamouda A, Liu H, McIntyre G, Meiring J, Moreau D, Thomas A, Wei A. Enabling reverse tone imaging for via levels using attenuated Phase Shift Mask and source optimization Proceedings of Spie - the International Society For Optical Engineering. 8683. DOI: 10.1117/12.2011349  0.371
2011 Landie G, Xu Y, Burns S, Yoshimoto K, Burkhardt M, Zhuang L, Petrillo K, Meiring J, Goldfarb D, Glodde M, Scaduto A, Colburn M, Desisto J, Bae Y, Reilly M, et al. Fundamental investigation of Negative Tone Development (NTD) for the 22nm node (and beyond) Proceedings of Spie - the International Society For Optical Engineering. 7972. DOI: 10.1117/12.882843  0.73
2010 Melville D, Rosenbluth AE, Tian K, Lai K, Bagheri S, Tirapu-Azpiroz J, Meiring J, Halle S, McIntyre G, Faure T, Corliss D, Krasnoperova A, Zhuang L, Strenski P, Waechter A, et al. Demonstrating the benefits of source-mask optimization and enabling technologies through experiment and simulations Proceedings of Spie - the International Society For Optical Engineering. 7640. DOI: 10.1117/12.846716  0.315
2010 Kim RH, Holmes S, Halle S, Dai V, Meiring J, Dave A, Colburn ME, Levinson HJ. 22-nm-node technology active-layer patterning for planar transistor devices Journal of Micro/Nanolithography, Mems, and Moems. 9. DOI: 10.1117/1.3302125  0.641
2009 Kim RH, Holmes S, Halle S, Dai V, Meiring J, Dave A, Colburn ME, Levinson HJ. 22 nm technology node active layer patterning for planar transistor devices Proceedings of Spie - the International Society For Optical Engineering. 7274. DOI: 10.1117/12.814277  0.639
2009 Meiring JE, Lee S, Costner EA, Schmid MJ, Michaelson TB, Willson CG, Grayson SM. Pattern recognition of shape-encoded hydrogel biosensor arrays Optical Engineering. 48. DOI: 10.1117/1.3099722  0.668
2008 Lai K, Burns S, Halle S, Zhuang L, Colburn M, Allen S, Babeock C, Baum Z, Burkhardt M, Dai V, Dunn D, Geiss E, Haffner H, Han G, Lawson P, ... ... Meiring J, et al. 32 NM Logic patterning options with immersion lithography Proceedings of Spie - the International Society For Optical Engineering. 6924. DOI: 10.1117/12.784107  0.745
2008 Abdo A, Stobert I, Viswanathan R, Burns R, Herold K, Kallingal C, Meiring J, Oberschmidt J, Mansfield S. The comparison of OPC performance and run time for dense versus sparse solutions Proceedings of Spie - the International Society For Optical Engineering. 6924. DOI: 10.1117/12.772902  0.653
2007 Lee S, Carmichael P, Meiring J, Dickey M, Grayson S, Bonnecaze RT, Willson CG. Modeling of self-assembly dynamics of photolithographically patterned MUFFINS biosensor arrays Materials Research Society Symposium Proceedings. 1002: 59-65. DOI: 10.1557/Proc-1002-N07-08  0.689
2007 Meiring JE, Haffner H, Fonseca C, Halle SD, Mansfield SM. ACLV driven double-patterning decomposition with extensively added printing assist features (PrAFs) Proceedings of Spie - the International Society For Optical Engineering. 6520. DOI: 10.1117/12.712558  0.35
2007 Mansfield S, Graur I, Han G, Meiring J, Liebmann L, Chidambarrao D. Lithography simulation in DfM - Achievable accuracy versus requirements Proceedings of Spie - the International Society For Optical Engineering. 6521. DOI: 10.1117/12.712437  0.339
2006 Schmid MJ, Manthiram K, Grayson SM, Willson JC, Meiring JE, Bell KM, Ellington AD, Willson CG. Feature multiplexing--improving the efficiency of microarray devices. Angewandte Chemie (International Ed. in English). 45: 3338-41. PMID 16607663 DOI: 10.1002/Anie.200502151  0.575
2005 Nishimura Y, Michaelson TB, Meiring JE, Stewart MD, Willson CG. Line edge roughness in chemically amplified resist: Speculation, simulation and application Journal of Photopolymer Science and Technology. 18: 457-465. DOI: 10.2494/Photopolymer.18.457  0.728
2005 Meiring JE, Michaelson TB, Jamieson AT, Schmid GM, Willson CG. Using mesoscale simulation to explore photoresist line edge roughness Progress in Biomedical Optics and Imaging - Proceedings of Spie. 5753: 350-360. DOI: 10.1117/12.599736  0.7
2005 Johnson S, Burns R, Kim EK, Dickey M, Schmid G, Meiring J, Burns S, Willson CG, Convey D, Wei Y, Fejes P, Gehoski K, Mancini D, Nordquist K, Dauksher WJ, et al. Effects of etch barrier densification on step and flash imprint lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 23: 2553-2556. DOI: 10.1116/1.2102971  0.721
2004 Johnson S, Burns R, Kim EK, Schmid G, Dickey M, Meiring J, Burns S, Stacey N, Willson CG, Convey D, Wei Y, Fejes P, Gehoski K, Mancini D, Nordquist K, et al. Step and Flash Imprint Lithography modeling and process development Journal of Photopolymer Science and Technology. 17: 417-419. DOI: 10.2494/Photopolymer.17.417  0.735
2004 Burns RL, Johnson SC, Schmid GM, Kim EK, Dickey MD, Meiring J, Burns SD, Stacey NA, Willson CG, Convey D, Wei Y, Fejes P, Gehoski K, Mancini D, Nordquist K, et al. Mesoscale modeling for SFIL simulating polymerization kinetics and densification Proceedings of Spie - the International Society For Optical Engineering. 5374: 348-360. DOI: 10.1117/12.536216  0.73
2004 Meiring JE, Schmid MJ, Grayson SM, Rathsack BM, Johnson DM, Kirby R, Kannappan R, Manthiram K, Hsia B, Hogan ZL, Ellington AD, Pishko MV, Willson CG. Hydrogel biosensor array platform indexed by shape Chemistry of Materials. 16: 5574-5580. DOI: 10.1021/Cm049488J  0.755
Low-probability matches (unlikely to be authored by this person)
2011 Tian K, Fakhry M, Dave A, Tritchkov A, Tirapu-Azpiroz J, Rosenbluth AE, Melville D, Sakamoto M, Inoue T, Mansfield S, Wei A, Kim Y, Durgan B, Adam K, Berger G, ... ... Meiring J, et al. Applicability of global source mask optimization to 22/20nm node and beyond Proceedings of Spie - the International Society For Optical Engineering. 7973. DOI: 10.1117/12.879703  0.294
2007 Lai K, Rosenbluth AE, Geng H, Tirapu-Azpiroz J, Meiring J, Goehnermeier A, Kneer B, Totzeck M, De Winter L, De Boeij W, Van De Kerkhof M. Modeling polarization for Hyper-NA lithography tools and masks Proceedings of Spie - the International Society For Optical Engineering. 6520. DOI: 10.1117/12.712272  0.262
2008 Haffner H, Meiring J, Baum Z, Halle S, Mansfield S. Solving the gate ACLV and ADLV challenges with printing assist features Microlithography World. 17: 7-10.  0.26
2007 Han G, Brendler A, Mansfield S, Meiring J. Statistical optimization of sampling plan and its relation to OPC model accuracy Proceedings of Spie - the International Society For Optical Engineering. 6518. DOI: 10.1117/12.712725  0.246
2007 Haffner H, Meiring J, Baum Z, Halle S. Paving the way to a full chip gate level double patterning application Proceedings of Spie - the International Society For Optical Engineering. 6730. DOI: 10.1117/12.746116  0.159
2012 Shang H, Jain S, Josse E, Alptekin E, Nam MH, Kim SW, Cho KH, Kim I, Liu Y, Yang X, Wu X, Ciavatti J, Kim NS, Vega R, Kang L, ... ... Meiring J, et al. High performance bulk planar 20nm CMOS technology for low power mobile applications Digest of Technical Papers - Symposium On Vlsi Technology. 129-130. DOI: 10.1109/VLSIT.2012.6242495  0.145
2013 Cipriany B, Jagannathan B, Costrini G, Noemaun A, Onishi K, Narasimha S, Zhang B, Sheraw C, Meiring J, Kumar M, Nummy K, Zhan N, Nanjundappa H, Norum J, Furkay S, et al. 22nm technology yield optimization using multivariate 3D virtual fabrication International Conference On Simulation of Semiconductor Processes and Devices, Sispad. 97-100. DOI: 10.1109/SISPAD.2013.6650583  0.113
Hide low-probability matches.