Paul D. Kirsch, Ph.D. - Publications

Affiliations: 
2001 University of Texas at Austin, Austin, Texas, U.S.A. 
Area:
Chemical Engineering, Materials Science Engineering, Electronics and Electrical Engineering

96 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2014 Kim TW, Koh D, Kwon H, Shin CS, Park WK, Shin SH, Cho Y, Ko DH, Hill RJW, Maszara WP, Kirsch PD, Kim DH. In0.7Ga0.3As quantum well MOSFETs with Al 2O3/HfO2 toward subthreshold swing of ∼60mV/dec Applied Physics Express. 7. DOI: 10.7567/Apex.7.074201  0.315
2014 Kwon HM, Kwon SK, Jeong KS, Oh SK, Oh SH, Choi WI, Kim TW, Kim DH, Kang CY, Lee BH, Kirsch P, Lee HD. A correlation between oxygen vacancies and reliability characteristics in a single zirconium oxide metal-insulator-metal capacitor Ieee Transactions On Electron Devices. 61: 2619-2627. DOI: 10.1109/Ted.2014.2326423  0.384
2014 Du Y, Yang L, Zhang J, Liu H, Majumdar K, Kirsch PD, Ye PD. MoS2 Field-Effect transistors with graphene/metal heterocontacts Ieee Electron Device Letters. 35: 599-601. DOI: 10.1109/Led.2014.2313340  0.336
2014 Majumdar K, Hobbs C, Kirsch PD. Benchmarking transition metal dichalcogenide MOSFET in the ultimate physical scaling limit Ieee Electron Device Letters. 35: 402-404. DOI: 10.1109/Led.2014.2300013  0.369
2014 Koh D, Kwon HM, Kim TW, Kim DH, Hudnall TW, Bielawski CW, Maszara W, Veksler D, Gilmer D, Kirsch PD, Banerjee SK. Lg = 100 nm In0.7Ga0.3As quantum well metal-oxide semiconductor field-effect transistors with atomic layer deposited beryllium oxide as interfacial layer Applied Physics Letters. 104. DOI: 10.1063/1.4871504  0.434
2014 Baek RH, Kang CY, Sohn CW, Kim DM, Kirsch P. Investigation of process-induced performance variability and optimization of the 10 nm technology node Si bulk FinFETs Solid-State Electronics. 96: 27-33. DOI: 10.1016/J.Sse.2014.04.020  0.321
2014 Sahiner MA, Lysaght PS, Price J, Kirsch PD, Woicik JC, Klump A, Reehil C, Manners WA, Nabizadeh A. Zr-induced structural changes in Hf1−xZr xO2 high-k thin films Applied Physics a: Materials Science and Processing. 117: 93-96. DOI: 10.1007/S00339-013-8208-Z  0.32
2013 Johnson DW, Lee RTP, Hill RJW, Wong MH, Bersuker G, Piner EL, Kirsch PD, Harris HR. Threshold voltage shift due to charge trapping in dielectric-gated AlGaN/GaN high electron mobility transistors examined in au-free technology Ieee Transactions On Electron Devices. 60: 3197-3203. DOI: 10.1109/Ted.2013.2278677  0.375
2013 Deora S, Bersuker G, Loh WY, Veksler D, Matthews K, Kim TW, Lee RTP, Hill RJW, Kim DH, Wang WE, Hobbs C, Kirsch PD. Positive bias instability and recovery in InGaAs channel nMOSFETs Ieee Transactions On Device and Materials Reliability. 13: 507-514. DOI: 10.1109/Tdmr.2013.2284376  0.423
2013 Kim DH, Kim TW, Hill RJW, Young CD, Kang CY, Hobbs C, Kirsch P, Del Alamo JA, Jammy R. High-speed E-mode InAs QW MOSFETs with Al2O3 insulator for future RF applications Ieee Electron Device Letters. 34: 196-198. DOI: 10.1109/Led.2012.2229107  0.345
2013 Shin HS, Yum JH, Johnson DW, Harris HR, Hudnall TW, Oh J, Kirsch P, Wang WE, Bielawski CW, Banerjee SK, Lee JC, Lee HD. Low interface defect density of atomic layer deposition BeO with self-cleaning reaction for InGaAs metal oxide semiconductor field effect transistors Applied Physics Letters. 103. DOI: 10.1063/1.4833815  0.41
2013 Lei M, Price J, Wang WE, Wong MH, Droopad R, Kirsch P, Bersuker G, Downer MC. Characterization of anti-phase boundaries in hetero-epitaxial polar-on-nonpolar semiconductor films by optical second-harmonic generation Applied Physics Letters. 102. DOI: 10.1063/1.4802082  0.321
2013 Majumdar K, Hobbs C, Matthews K, Chen CH, Ngai T, Yong Kang C, Bersuker G, Vivekanand S, Rodgers M, Gausepohl S, Kirsch PD, Jammy R. Contact resistance improvement by dielectric breakdown in semiconductor-dielectric-metal contact Applied Physics Letters. 102. DOI: 10.1063/1.4796138  0.398
2013 Min KS, Park C, Kang CY, Park CS, Park BJ, Kim YW, Lee BH, Lee JC, Bersuker G, Kirsch P, Jammy R, Yeom GY. Improvement of metal gate/high-k dielectric CMOSFETs characteristics by atomic layer etching of high-k gate dielectric Solid-State Electronics. 82: 82-85. DOI: 10.1016/J.Sse.2012.11.008  0.458
2013 Min KS, Park C, Kang CY, Park CS, Park BJ, Kim YW, Lee BH, Lee JC, Bersuker G, Kirsch P, Jammy R, Yeom GY. Improvement of metal gate/high-k dielectric CMOSFETs characteristics by neutral beam etching of metal gate Solid-State Electronics. 86: 75-78. DOI: 10.1016/J.Sse.2012.07.023  0.416
2013 Privitera S, Bersuker G, Butcher B, Kalantarian A, Lombardo S, Bongiorno C, Geer R, Gilmer DC, Kirsch PD. Microscopy study of the conductive filament in HfO2 resistive switching memory devices Microelectronic Engineering. 109: 75-78. DOI: 10.1016/J.Mee.2013.03.145  0.382
2012 Chen YT, Huang J, Price J, Lysaght P, Veksler D, Weiland C, Woicik JC, Bersuker G, Hill R, Oh J, Kirsch PD, Jammy R, Lee JC. III-V gate stack interface improvement to enable high mobility 11nm node CMOS International Symposium On Vlsi Technology, Systems, and Applications, Proceedings. DOI: 10.1109/VLSI-TSA.2012.6210157  0.316
2012 Akarvardar K, Rodgers M, Kaushik V, Johnson CS, Chong H, Ok I, Ang K, Gausepohl S, Hobbs C, Kirsch P, Jammy R. Impact of NiPt Thickness Scaling on Contact Resistance From Thin-Body FD SOI to Trigate FETs Ieee Electron Device Letters. 33: 631-633. DOI: 10.1109/Led.2012.2186278  0.385
2012 Akarvardar K, Young CD, Baykan MO, Ok I, Ngai T, Ang KW, Rodgers MP, Gausepohl S, Majhi P, Hobbs C, Kirsch PD, Jammy R. Impact of fin doping and gate stack on FinFET (110) and (100) electron and hole mobilities Ieee Electron Device Letters. 33: 351-353. DOI: 10.1109/Led.2011.2182603  0.359
2012 Ang KW, Majumdar K, Matthews K, Young CD, Kenney C, Hobbs C, Kirsch PD, Jammy R, Clark RD, Consiglio S, Tapily K, Trickett Y, Nakamura G, Wajda CS, Leusink GJ, et al. Effective Schottky Barrier Height modulation using dielectric dipoles for source/drain specific contact resistivity improvement Technical Digest - International Electron Devices Meeting, Iedm. 18.6.1-18.6.4. DOI: 10.1109/IEDM.2012.6479068  0.315
2012 Yum JH, Shin HS, Hill R, Oh J, Lee HD, Mushinski RM, Hudnall TW, Bielawski CW, Banerjee SK, Loh WY, Wang WE, Kirsch P. A study of capping layers for sulfur monolayer doping on III-V junctions Applied Physics Letters. 101. DOI: 10.1063/1.4772641  0.393
2012 Lysaght PS, Woicik JC, Sahiner MA, Price J, Weiland C, Kirsch PD. Spectroscopic analysis of Al and N diffusion in HfO 2 Journal of Applied Physics. 112. DOI: 10.1063/1.4754578  0.346
2012 Veksler D, Nagaiah P, Chidambaram T, Cammarere R, Tokranov V, Yakimov M, Chen YT, Huang J, Goel N, Oh J, Bersuker G, Hobbs C, Kirsch PD, Oktyabrsky S. Quantification of interfacial state density (D it) at the high-k/III-V interface based on Hall effect measurements Journal of Applied Physics. 112. DOI: 10.1063/1.4749403  0.437
2012 Baykan MO, Young CD, Akarvardar K, Majhi P, Hobbs C, Kirsch P, Jammy R, Thompson SE, Nishida T. Physical insights on comparable electron transport in (100) and (110) double-gate fin field-effect transistors Applied Physics Letters. 100. DOI: 10.1063/1.3696038  0.318
2012 Oh J, Jeon K, Lee SH, Huang J, Hung PY, Ok I, Sassman B, Ko DH, Kirsch P, Jammy R. High mobility CMOS transistors on Si/SiGe heterostructure channels Microelectronic Engineering. 97: 26-28. DOI: 10.1016/J.Mee.2012.02.030  0.373
2012 Sahiner MA, Lysaght PS, Woicik JC, Park CS, Huang J, Bersuker G, Taylor W, Kirsch PD, Jammy R. Local structural modifications of the HfO 2 layer in the Al 2O 3 capped high-k dielectric films as probed by EXAFS Physica Status Solidi (a) Applications and Materials Science. 209: 679-682. DOI: 10.1002/Pssa.201100669  0.392
2011 Oh J, Huang J, Ok I, Lee SH, Kirsch PD, Jammy R, Lee HD. High transport Si/SiGe heterostructures for CMOS transistors with orientation and strain enhanced mobility Ieice Transactions On Electronics. 712-716. DOI: 10.1587/Transele.E94.C.712  0.403
2011 Lee SH, Majhi P, Ferrer DA, Hung PY, Huang J, Oh J, Loh WY, Sassman B, Min BG, Tseng HH, Harris R, Bersuker G, Kirsch PD, Jammy R, Banerjee SK. Impact of millisecond flash-assisted rapid thermal annealing on SiGe heterostructure channel pMOSFETs with a high-k/metal gate Ieee Transactions On Electron Devices. 58: 2917-2923. DOI: 10.1109/Ted.2011.2159862  0.432
2011 Lee SH, Nainani A, Oh J, Jeon K, Kirsch PD, Majhi P, Register LF, Banerjee SK, Jammy R. On-state performance enhancement and channel-direction-dependent performance of a biaxial compressive strained Si0.5Ge0.5 quantum-well pMOSFET along 〈 110 〉 and 〈 100 〉 channel directions Ieee Transactions On Electron Devices. 58: 985-995. DOI: 10.1109/Ted.2011.2105876  0.335
2011 Kwon H, Han I, Bok J, Park S, Jung Y, Lee G, Chung Y, Lee J, Kang CY, Kirsch P, Jammy R, Lee H. Characterization of Random Telegraph Signal Noise of High-Performance p-MOSFETs With a High- $k$ Dielectric/Metal Gate Ieee Electron Device Letters. 32: 686-688. DOI: 10.1109/Led.2011.2114633  0.316
2011 Bersuker G, Gilmer DC, Veksler D, Kirsch P, Vandelli L, Padovani A, Larcher L, McKenna K, Shluger A, Iglesias V, Porti M, Nafría M. Metal oxide resistive memory switching mechanism based on conductive filament properties Journal of Applied Physics. 110. DOI: 10.1063/1.3671565  0.348
2011 Negara MA, Veksler D, Huang J, Ghibaudo G, Hurley PK, Bersuker G, Goel N, Kirsch P. Analysis of effective mobility and hall effect mobility in high-k based In 0.75Ga 0.25As metal-oxide-semiconductor high-electron-mobility transistors Applied Physics Letters. 99. DOI: 10.1063/1.3665033  0.426
2011 Lysaght PS, Woicik JC, Huang J, Oh J, Min BG, Kirsch PD. Process driven oxygen redistribution and control in Si0.7Ge 0.3/HfO2/TaN gate stack film systems Journal of Applied Physics. 110. DOI: 10.1063/1.3651519  0.423
2011 Oh J, Huang J, Chen YT, Ok I, Jeon K, Lee SH, Sassman B, Loh WY, Lee HD, Ko DH, Majhi P, Kirsch P, Jammy R. Comparison of Ohmic contact resistances of n- and p-type Ge source/drain and their impact on transport characteristics of Ge metal oxide semiconductor field effect transistors Thin Solid Films. 520: 442-444. DOI: 10.1016/J.Tsf.2011.06.025  0.399
2010 Bersuker G, Heh D, Huang J, Park CS, Padovani A, Larcher L, Kirsch PD, Jammy R. Gate Leakage Current Reduction in Two-Step Processed High-k Dielectrics for Low Power Applications The Japan Society of Applied Physics. DOI: 10.7567/Ssdm.2010.C-8-5  0.346
2010 Park CS, Lysaght P, Hussain MM, Huang J, Bersuker G, Majhi P, Kirsch PD, Jammy R, Tseng HH. Advanced high-k/metal gate stack progress and challenges – a materials and process integration perspective International Journal of Materials Research. 101: 155-163. DOI: 10.3139/146.110262  0.497
2010 Park CS, Bersuker G, Hung PY, Kirsch PD, Jammy R. Impact of oxygen on work function of Ru oxide metal gate Electrochemical and Solid-State Letters. 13. DOI: 10.1149/1.3290742  0.37
2010 Zhang L, Liu C, Wang R, Huang R, Yu T, Zhuge J, Kirsch P, Tseng H, Wang Y. Characteristics of Gate Current Random Telegraph Signal Noise in SiON/HfO2/TaN p-Type Metal–Oxide–Semiconductor Field-Effect Transistors under Negative Bias Temperature Instability Stress Condition Japanese Journal of Applied Physics. 49: 04DC08. DOI: 10.1143/Jjap.49.04Dc08  0.411
2010 Park CS, Hussain MM, Tateiw K, Huang J, Lin J, Ngai T, Lian S, Rader K, Taylor B, Kirsch PD, Jammy R. Alternative approaches for high-k/metal gate CMOS: Low temperature process (gate last) and SiGe channel Proceedings of 2010 International Symposium On Vlsi Technology, System and Application, Vlsi-Tsa 2010. 80-81. DOI: 10.1109/VTSA.2010.5488940  0.33
2010 Park CS, Hussain MM, Bersuker G, Kirsch PD, Jammy R. Characteristics of a band edge p-channel metal-oxide-semiconductor field effect transistors fabricated with a high-k / WAlx /TiSiN gate stack Applied Physics Letters. 97. DOI: 10.1063/1.3464167  0.476
2009 Kirsch PD, Sivasubramani P, Huang J, Young CD, Park CS, Freeman K, Hussain MM, Bersuker G, Harris HR, Majhi P, Lysaght P, Tseng HH, Lee BH, Jammy R. Dipole model explaining high-k/metal gate threshold voltage tuning Ecs Transactions. 19: 269-276. DOI: 10.1149/1.3118953  0.321
2009 Park CS, Yang JW, Hussain MM, Kang CY, Huang J, Sivasubramani P, Park C, Tateiwa K, Harada Y, Barnett J, Melvin C, Bersuker G, Kirsch PD, Lee BH, Tseng HH, et al. La-doped metal/high-K nMOSFET for sub-32nm HP and LSTP application International Symposium On Vlsi Technology, Systems, and Applications, Proceedings. 59-60. DOI: 10.1109/VTSA.2009.5159290  0.312
2009 Kang CY, Kirsch PD, Lee BH, Tseng HH, Jammy R. Reliability of La-Doped Hf-based dielectrics nMOSFETs Ieee Transactions On Device and Materials Reliability. 9: 171-179. DOI: 10.1109/Tdmr.2009.2020741  0.418
2009 Goel N, Gilmer DC, Park H, Diaz V, Sun Y, Price J, Park C, Pianetta P, Kirsch PD, Jammy R. Erase and retention improvements in charge trap flash through engineered charge storage layer Ieee Electron Device Letters. 30: 216-218. DOI: 10.1109/Led.2009.2012397  0.35
2009 Huang J, Kirsch PD, Oh J, Lee SH, Majhi P, Harris HR, Gilmer DC, Bersuker G, Heh D, Park CS, Park C, Tseng HH, Jammy R. Mechanisms limiting EOT scaling and gate leakage currents of high-k/ Metal gate stacks directly on SiGe Ieee Electron Device Letters. 30: 285-287. DOI: 10.1109/Led.2008.2011754  0.491
2009 Böscke TS, Hung PY, Kirsch PD, Quevedo-Lopez MA, Ramírez-Bon R. Increasing permittivity in HfZrO thin films by surface manipulation Applied Physics Letters. 95. DOI: 10.1063/1.3195623  0.32
2009 Park C, Park SD, Gilmer DC, Park HK, Kang CY, Lim KY, Burham C, Barnett J, Kirsch PD, Tseng HH, Jammy R, Yeom GY. Bulk and Interface effects on voltage linearity of ZrO2 - SiO2 multilayered metal-insulator-metal capacitors for analog mixed-signal applications Applied Physics Letters. 95. DOI: 10.1063/1.3182856  0.422
2009 Tseng H, Kirsch P, Park CS, Bersuker G, Majhi P, Hussain M, Jammy R. The progress and challenges of threshold voltage control of high-k/metal-gated devices for advanced technologies (Invited Paper) Microelectronic Engineering. 86: 1722-1727. DOI: 10.1016/J.Mee.2009.03.092  0.422
2009 Huang J, Heh D, Sivasubramani P, Kirsch PD, Bersuker G, Gilmer DC, Quevedo-Lopez MA, Hussain MM, Majhi P, Lysaght P, Park H, Goel N, Young C, Park CS, Park C, et al. Gate first high-k/metal gate stacks with zero SiOx interface achieving EOT=0.59nm for 16nm application Digest of Technical Papers - Symposium On Vlsi Technology. 34-35.  0.313
2008 Hussain MM, Rader K, Smith C, Young C, Suthram S, Park C, Cruz M, Kirsch PD, Jammy R. Additive Process Induced Strain (APIS) Technology for L g = 30nm Band-Edge High-k/Metal Gate nMOSFET The Japan Society of Applied Physics. DOI: 10.7567/Ssdm.2008.A-7-2  0.335
2008 Huang J, Kirsch PD, Hussain M, Heh D, Sivasubramani P, Young C, Gilmer DC, Park CS, Tan YN, Park C, Harris HR, Majhi P, Bersuker G, Lee BH, Tseng HH, et al. Gate first band edge hign-k/metal stacks with EOT=0.74nm for 22nm node nFETs International Symposium On Vlsi Technology, Systems, and Applications, Proceedings. 152-153. DOI: 10.1109/VTSA.2008.4530842  0.342
2008 Huang J, Kirsch PD, Oh J, Lee SH, Price J, Majhi P, Harris HR, Gilmer DC, Kelly DQ, Sivasubramani P, Bersuker G, Heh D, Young C, Park CS, Tan YN, et al. Mechanisms limiting EOT scaling and gate leakage currents of high-k/metal gate stacks directly on SiGe and a method to enable sub-1nm EOT Digest of Technical Papers - Symposium On Vlsi Technology. 82-83. DOI: 10.1109/VLSIT.2008.4588571  0.398
2008 Lee BH, Song SC, Choi R, Kirsch P. Metal Electrode/High- $k$ Dielectric Gate-Stack Technology for Power Management Ieee Transactions On Electron Devices. 55: 8-20. DOI: 10.1109/Ted.2007.911044  0.428
2008 Lee SH, Majhi P, Oh J, Sassman B, Young C, Bowonder A, Loh WY, Choi KJ, Cho BJ, Lee HD, Kirsch P, Harris HR, Tsai W, Datta S, Tseng HH, et al. Demonstration of Lg ∼ nm pMOSFETs with Si/Si0.25Ge0.75/Si channels, high Ion/Ioff (>5 × 104, and controlled short channel effects (SCEs) Ieee Electron Device Letters. 29: 1017-1020. DOI: 10.1109/Led.2008.2002073  0.427
2008 Park H, Jo M, Choi H, Hasan M, Choi R, Kirsch PD, Kang CY, Lee BH, Kim T, Lee T, Hwang H. The Effect of Nanoscale Nonuniformity of Oxygen Vacancy on Electrical and Reliability Characteristics of $\hbox{HfO}_{2}$ MOSFET Devices Ieee Electron Device Letters. 29: 54-56. DOI: 10.1109/Led.2007.911992  0.326
2008 Huang J, Kirsch PD, Heh D, Kang CY, Bersuker G, Hussain M, Majhi P, Sivasubramani P, Gilmer DC, Goel N, Quevedo-Lopez MA, Young C, Park CS, Park C, Hung PY, et al. Device and reliability improvement of HfSiON+LaOx/Metal gate stacks for 22nm node application Technical Digest - International Electron Devices Meeting, Iedm. DOI: 10.1109/IEDM.2008.4796609  0.326
2008 Na HJ, Lee JC, Heh D, Sivasubramani P, Kirsch PD, Oh JW, Majhi P, Rivillon S, Chabal YJ, Lee BH, Choi R. Effective surface passivation methodologies for high performance germanium metal oxide semiconductor field effect transistors Applied Physics Letters. 93. DOI: 10.1063/1.3028025  0.484
2008 Herrera-Gomez A, Aguirre-Tostado FS, Quevedo-Lopez MA, Kirsch PD, Kim MJ, Wallace RM. Thermal stability of nitrogen in nitrided HfSiO2 / SiO2 /Si (001) ultrathin films Journal of Applied Physics. 104. DOI: 10.1063/1.3021051  0.399
2008 Kirsch PD, Sivasubramani P, Huang J, Young CD, Quevedo-Lopez MA, Wen HC, Alshareef H, Choi K, Park CS, Freeman K, Hussain MM, Bersuker G, Harris HR, Majhi P, Choi R, et al. Dipole model explaining high-k /metal gate field effect transistor threshold voltage tuning Applied Physics Letters. 92. DOI: 10.1063/1.2890056  0.403
2008 Pagano R, Lombardo S, Palumbo F, Kirsch P, Krishnan SA, Young C, Choi R, Bersuker G, Stathis JH. A novel approach to characterization of progressive breakdown in high-k/metal gate stacks Microelectronics Reliability. 48: 1759-1764. DOI: 10.1016/J.Microrel.2008.07.071  0.425
2007 Park CS, Song SC, Burham C, Park HB, Niimi H, Ju BS, Barnett J, Kang CY, Lysaght P, Bersuker G, Choi R, Park HK, Hwang H, Park BH, Kim S, ... Kirsch P, et al. Achieving Band Edge Effective Work Function of Gate First Metal Gate by Oxygen Anneal Processes: Low Temperature Oxygen Anneal (LTOA) and High Pressure Oxygen Anneal (HPOA) Processes The Japan Society of Applied Physics. 2007: 14-15. DOI: 10.7567/Ssdm.2007.A-1-4  0.329
2007 Harris HR, Kalra P, Majhi P, Hussain M, Kelly D, Oh J, He D, Smith C, Barnett J, Kirsch PD, Gebara G, Jur J, Lichtenwalner D, Lubow A, Ma TP, et al. Band-engineered low PMOS vT with high-K/metal gates featured in a dual channel CMOS integration scheme Digest of Technical Papers - Symposium On Vlsi Technology. 154-155. DOI: 10.1109/VLSIT.2007.4339763  0.323
2007 Joshi S, Krug C, Heh D, Na HJ, Harris HR, Oh JW, Kirsch PD, Majhi P, Lee BH, Tseng HH, Jammy R, Lee JC, Banerjee SK. Improved Ge surface passivation with ultrathin SiOX enabling high-mobility surface channel pMOSFETs featuring a HfSiO/WN gate stack Ieee Electron Device Letters. 28: 308-311. DOI: 10.1109/Led.2007.893274  0.447
2007 Joshi S, Dey S, Lee SH, Krug C, Na HJ, Sivasubramani P, Kirsch PD, Majhi P, Wang W, Campion A, Banerjee SK. 3X hole mobility enhancement in epitaxially grown SiGe PMOSFETs on (110) Si substrates with high k / metal gate for hybrid orientation technology 65th Drc Device Research Conference. 53-54. DOI: 10.1109/DRC.2007.4373648  0.327
2007 Lee BH, Kang CY, Kirsch P, Heh D, Young CD, Park H, Yang J, Bersuker G, Krishnan S, Choi R, Lee HD. Electric-field-driven dielectric breakdown of metal-insulator-metal hafnium silicate Applied Physics Letters. 91. DOI: 10.1063/1.2825288  0.364
2007 Böscke TS, Govindarajan S, Kirsch PD, Hung PY, Krug C, Lee BH, Heitmann J, Schröder U, Pant G, Gnade BE, Krautschneider WH. Stabilization of higher- κ tetragonal Hf O2 by Si O2 admixture enabling thermally stable metal-insulator-metal capacitors Applied Physics Letters. 91. DOI: 10.1063/1.2771376  0.398
2007 Govindarajan S, Böscke TS, Sivasubramani P, Kirsch PD, Lee BH, Tseng HH, Jammy R, Schröder U, Ramanathan S, Gnade BE. Higher permittivity rare earth doped Hf O2 for sub- 45-nm metal-insulator-semiconductor devices Applied Physics Letters. 91. DOI: 10.1063/1.2768002  0.415
2007 Kelly DQ, Lee S, Kalra P, Harris R, Oh J, Kirsch P, Banerjee SK, Majhi P, Tseng H, Jammy R. Interrelationship between electrical and physical properties of subcritical Si-Ge layers grown directly on silicon for short channel high-performance pMOSFETs Microelectronic Engineering. 84: 2054-2057. DOI: 10.1016/J.Mee.2007.04.133  0.427
2006 Kirsch PD, Quevedo-Lopez MA, Krishnan SA, Krug C, Aguirre FS, Wallace RM, Lee BH, Jammy R. Plasma Nitridation of HfO2 Enabling a 0.9 nm EOT with High Mobility for a Gate First MOSFET The Japan Society of Applied Physics. 2006: 388-389. DOI: 10.7567/Ssdm.2006.J-5-1  0.335
2006 Amy SR, Chabal YJ, Amy F, Kahn A, Krugg C, Kirsch P. Wet Chemical Cleaning of Germanium Surfaces for Growth of High-k Dielectrics Mrs Proceedings. 917. DOI: 10.1557/Proc-0917-E01-05  0.345
2006 Kirsch PD, Quevedo-Lopez M, Krishnan SA, Song SC, Choi R, Majhi P, Senzaki Y, Bersuker G, Lee BH. Atomic layer deposited HfO2 and HfSiO to enable CMOS gate dielectric scaling, mobility, and VTH stability Ecs Transactions. 1: 15-28. DOI: 10.1149/1.2209326  0.354
2006 Song SC, Sim JH, Zhang Z, Bae SH, Kirsch P, Bersuker G, Lee BH. Morphology and crystallization of ultrathin HfON (EOT≤1 nm) with TiN metal gate Electrochemical and Solid-State Letters. 9. DOI: 10.1149/1.2161441  0.348
2006 Krishnan SA, Quevedo M, Harris R, Kirsch PD, Choi R, Lee BH, Bersuker G, Lee JC. Negative bias temperature instability dependence on dielectric thickness and nitrogen concentration in ultra-scaled HfSiON dielectric/TiN gate stacks Japanese Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers. 45: 2945-2948. DOI: 10.1143/Jjap.45.2945  0.402
2006 Song S, Zhang Z, Huffman C, Sim JH, Bae SH, Kirsch PD, Majhi P, Choi R, Moumen N, Lee BH. Highly manufacturable advanced gate-stack technology for sub-45-nm self-aligned gate-first CMOSFETs Ieee Transactions On Electron Devices. 53: 979-989. DOI: 10.1109/Ted.2006.872700  0.422
2006 Zhang Z, Song SC, Quevedo-Lopez MA, Choi K, Kirsch P, Lysaght P, Lee BH. Co-optimization of the metal gate/high-k stack to achieve high-field mobility >90% of SiO2 universal mobility with an EOT= ∼1 nm Ieee Electron Device Letters. 27: 185-187. DOI: 10.1109/Led.2006.870245  0.463
2006 Kirsch PD, Quevedo-Lopez MA, Krishnan SA, Krug C, AlShareef H, Park CS, Harris R, Moumen N, Neugroschel A, Bersuker G, Lee BH, Wang JG, Pant G, Gnade BE, Kim MJ, et al. Band edge n-MOSFETs with high-k/metal gate stacks scaled to EOT=0.9nm with excellent carrier mobility and high temperature stability Technical Digest - International Electron Devices Meeting, Iedm. DOI: 10.1109/IEDM.2006.346862  0.339
2006 Alshareef HN, Quevedo-Lopez M, Wen HC, Harris R, Kirsch P, Majhi P, Lee BH, Jammy R, Lichtenwalner DJ, Jur JS, Kingon AI. Work function engineering using lanthanum oxide interfacial layers Applied Physics Letters. 89. DOI: 10.1063/1.2396918  0.424
2006 Kirsch PD, Quevedo-Lopez MA, Krishnan SA, Lee BH, Pant G, Kim MJ, Wallace RM, Gnade BE. Mobility and charge trapping comparison for crystalline and amorphous HfON and HfSiON gate dielectrics Applied Physics Letters. 89. DOI: 10.1063/1.2392992  0.402
2006 Bersuker G, Park CS, Barnett J, Lysaght PS, Kirsch PD, Young CD, Choi R, Lee BH, Foran B, Van Benthem K, Pennycook SJ, Lenahan PM, Ryan JT. The effect of interfacial layer properties on the performance of Hf-based gate stack devices Journal of Applied Physics. 100. DOI: 10.1063/1.2362905  0.345
2006 Pant G, Gnade A, Kim MJ, Wallace RM, Gnade BE, Quevedo-Lopez MA, Kirsch PD, Krishnan S. Comparison of electrical and chemical characteristics of ultrathin HfON versus HfSiON dielectrics Applied Physics Letters. 89. DOI: 10.1063/1.2226991  0.346
2006 Pant G, Gnade A, Kim MJ, Wallace RM, Gnade BE, Quevedo-Lopez MA, Kirsch PD. Effect of thickness on the crystallization of ultrathin HfSiON gate dielectrics Applied Physics Letters. 88: 1-3. DOI: 10.1063/1.2165182  0.34
2006 Kirsch PD, Quevedo-Lopez MA, Li HJ, Senzaki Y, Peterson JJ, Song SC, Krishnan SA, Moumen N, Barnett J, Bersuker G, Hung PY, Lee BH, Lafford T, Wang Q, Gay D, et al. Nucleation and growth study of atomic layer deposited HfO 2 gate dielectrics resulting in improved scaling and electron mobility Journal of Applied Physics. 99. DOI: 10.1063/1.2161819  0.567
2006 Song SC, Zhang Z, Huffman C, Bae SH, Sim JH, Kirsch P, Majhi P, Moumen N, Lee BH. Integration issues of high-k and metal gate into conventional CMOS technology Thin Solid Films. 504: 170-173. DOI: 10.1016/J.Tsf.2005.09.080  0.44
2006 Quevedo-Lopez MA, Kirsch PD, Krishnan S, Alshareef HN, Barnett J, Harris HR, Neugroschel A, Aguirre-Tostado FS, Gnade BE, Kim MJ, Wallace RM, Lee BH. Systematic gate stack optimization to maximize mobility with HfSiON EOT scaling Essderc 2006 - Proceedings of the 36th European Solid-State Device Research Conference. 2006: 113-116.  0.308
2005 Quevedo-Lopez MA, Krishnan SA, Kirsch PD, Peterson J, Li H-, Kim M, Huffman C. Effect Of Starting Interface in Scalability/Device Performance of Ultra-Scaled ALD HfSiON/TiN Gate Stacks The Japan Society of Applied Physics. 2005: 494-495. DOI: 10.7567/Ssdm.2005.P1-4  0.342
2005 Song SC, Bae SH, Sim JH, Bersuker G, Zhang Z, Kirsch P, Majhi P, Moumen N, Zeitzoff P, Lee BH. Highly Manufacturable Hf-silicate Technology with Optimized Composition for Gate-First Metal Gate CMOSFET The Japan Society of Applied Physics. 2005: 922-923. DOI: 10.7567/Ssdm.2005.C-10-4  0.332
2005 Krishnan SA, Quevedo M, Harris R, Kirsch PD, Choi R, Lee BH, Bersuker G, Peterson J, Li H, Young C, Lee JC. NBTI Dependence on Dielectric Thickness in Ultra-scaled HfSiO Dielectric/ALD-TiN Gate Stacks The Japan Society of Applied Physics. 2005: 22-23. DOI: 10.7567/Ssdm.2005.A-2-4  0.358
2005 Krishnan SA, Quevedo-Lopez MA, Choi R, Kirsch PD, Young C, Harris R, Peterson JJ, Li HJ, Lee BH, Lee JC. Charge trapping dependence on the physical structure of ultra-thin ALD-HfSiON/TiN gate stacks Ieee International Integrated Reliability Workshop Final Report. 2005: 89-90. DOI: 10.1109/IRWS.2005.1609570  0.302
2005 Kirsch PD, Sim JH, Song SC, Krishnan S, Peterson J, Li HJ, Quevedo-Lopez M, Young CD, Choi R, Moumen N, Majhi P, Wang Q, Ekerdt JG, Bersuker G, Lee BH. Mobility enhancement of high-k gate stacks through reduced transient charging Proceedings of Essderc 2005: 35th European Solid-State Device Research Conference. 2005: 367-370. DOI: 10.1109/ESSDER.2005.1546661  0.315
2005 Quevedo-Lopez MA, Krishnan SA, Kirsch PD, Pant G, Gnade BE, Wallace RM. Ultrascaled hafnium silicon oxynitride gate dielectrics with excellent carrier mobility and reliability Applied Physics Letters. 87: 1-3. DOI: 10.1063/1.2150586  0.453
2005 Senzaki Y, Choi K, Kirsch PD, Majhi P, Lee BH. Atomic layer deposition of high k dielectric and metal gate stacks for MOS devices Aip Conference Proceedings. 788: 69-72. DOI: 10.1063/1.2062940  0.499
2005 Sim JH, Song SC, Kirsch PD, Young CD, Choi R, Kwong DL, Lee BH, Bersuker G. Effects of ALD HfO2 thickness on charge trapping and mobility Microelectronic Engineering. 80: 218-221. DOI: 10.1016/J.Mee.2005.04.071  0.393
2002 Kirsch PD, Kang CS, Lozano J, Lee JC, Ekerdt JG. Electrical and spectroscopic comparison of HfO 2/Si interfaces on nitrided and un-nitrided Si(100) Journal of Applied Physics. 91: 4353-4363. DOI: 10.1063/1.1455155  0.55
2001 Kirsch PD, Ekerdt JG. Interfacial chemistry of the Sr/SioxNy/Si(100) nanostructure Journal of Vacuum Science and Technology, Part a: Vacuum, Surfaces and Films. 19: 2222-2231. DOI: 10.1116/1.1379803  0.476
2001 Kirsch PD, Ekerdt JG. Chemical and thermal reduction of thin films of copper (II) oxide and copper (I) oxide Journal of Applied Physics. 90: 4256-4264. DOI: 10.1063/1.1403675  0.472
1999 Yong K, Kirsch PD, Ekerdt JG. Surface reaction of trisdimethylaminoantimony on GaSb(100) Surface Science. 440: 187-195. DOI: 10.1016/S0039-6028(99)00792-X  0.449
Show low-probability matches.