Richard Lawson - Publications

Affiliations: 
Chemical Engineering Georgia Institute of Technology, Atlanta, GA 

59 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2016 Peters AJ, Lawson RA, Nation BD, Ludovice PJ, Henderson CL. Calculations of the free energy of dislocation defects in lamellae forming diblock copolymers using thermodynamic integration Journal of Micro/ Nanolithography, Mems, and Moems. 15. DOI: 10.1117/1.Jmm.15.2.023505  0.669
2016 Peters AJ, Lawson RA, Nation BD, Ludovice PJ, Henderson CL. Coarse-grained molecular dynamics modeling of the kinetics of lamellar block copolymer defect annealing Journal of Micro/ Nanolithography, Mems, and Moems. 15. DOI: 10.1117/1.Jmm.15.1.013508  0.702
2015 Peters AJ, Lawson RA, Nation BD, Ludovice PJ, Henderson CL. Simulation study of the effect of molar mass dispersity on domain interfacial roughness in lamellae forming block copolymers for directed self-assembly. Nanotechnology. 26: 385301. PMID 26335174 DOI: 10.1088/0957-4484/26/38/385301  0.725
2015 Lawson RA, Henderson CL. Investigating SEM metrology effects using a detailed SEM simulation and stochastic resist model Proceedings of Spie - the International Society For Optical Engineering. 9424. DOI: 10.1117/12.2086051  0.567
2015 Lawson RA, Peters AJ, Nation BD, Ludovice PJ, Henderson CL. Effect of χn and underlayer composition on self-assembly of thin films of block copolymers with energy asymmetric block Proceedings of Spie - the International Society For Optical Engineering. 9423. DOI: 10.1117/12.2086047  0.715
2015 Sharp B, Lawson RA, Fralick A, Narcross H, Chun JS, Neisser M, Tolbert LM, Henderson CL. Base developable negative tone molecular resist based on epoxide cross-linking Proceedings of Spie - the International Society For Optical Engineering. 9425. DOI: 10.1117/12.2086039  0.616
2015 Narcross H, Lawson RA, Sharp B, Chun JS, Neisser M, Tolbert LM, Henderson CL. Effect of molecular resist structure on glass transition temperature and lithographic performance in epoxide functionalized negative tone resists Proceedings of Spie - the International Society For Optical Engineering. 9425. DOI: 10.1117/12.2086027  0.611
2015 Lawson RA, Narcross H, Sharp B, Chun JS, Neisser M, Tolbert LM, Henderson CL. Optimizing performance in cross-linking negative-tone molecular resists Proceedings of Spie - the International Society For Optical Engineering. 9425. DOI: 10.1117/12.2086007  0.642
2015 Peters AJ, Lawson RA, Nation BD, Ludovice PJ, Henderson CL. Coarse-grained molecular dynamics modeling of the kinetics of lamellar BCP defect annealing Proceedings of Spie - the International Society For Optical Engineering. 9423. DOI: 10.1117/12.2085518  0.699
2015 Nation BD, Peters A, Lawson RA, Ludovice PJ, Henderson CL. Effect of chemoepitaxial guiding underlayer design on the pattern quality and shape of aligned lamellae for fabrication of line-space patterns Proceedings of Spie - the International Society For Optical Engineering. 9423. DOI: 10.1117/1.Jmm.16.4.043502  0.715
2015 Peters AJ, Lawson RA, Nation BD, Ludovice PJ, Henderson CL. Free energy difference of pitch variation and calculation of the order-disorder transition in block copolymer systems using thermodynamic integration Materials Research Express. 2. DOI: 10.1088/2053-1591/2/7/075301  0.47
2014 Peters AJ, Lawson RA, Nation BD, Ludovice PJ, Henderson CL. Understanding defects in DSA: Calculation of free energies of block copolymer DSA systems via thermodynamic integration of a mesoscale block-copolymer model Proceedings of Spie - the International Society For Optical Engineering. 9049. DOI: 10.1117/12.2046664  0.692
2014 Nation BD, Peters A, Lawson RA, Ludovice PJ, Henderson CL. Effect of guiding layer topography on chemoepitaxially directed self-assembly of block copolymers for pattern density multiplication Proceedings of Spie - the International Society For Optical Engineering. 9049. DOI: 10.1117/12.2046629  0.707
2014 Nation BD, Peters A, Lawson RA, Ludovice PJ, Henderson CL. Predicting process windows for pattern density multiplication using block copolymer directed self-assembly in conjunction with chemoepitaxial guiding layers Proceedings of Spie - the International Society For Optical Engineering. 9049. DOI: 10.1117/12.2046626  0.72
2014 Lawson RA, Chun JS, Neisser M, Tolbert LM, Henderson CL. Positive tone cross-linked resists based on photoacid inhibition of cross linking Proceedings of Spie - the International Society For Optical Engineering. 9051. DOI: 10.1117/12.2046619  0.57
2014 Lawson RA, Chun JS, Neisser M, Tolbert LM, Henderson CL. Methods of controlling cross-linking in negative-tone resists Proceedings of Spie - the International Society For Optical Engineering. 9051. DOI: 10.1117/12.2046616  0.642
2014 Lawson RA, Peters AJ, Nation B, Ludovice PJ, Henderson CL. Simulation study of the effect of differences in block energy and density on the self-assembly of block copolymers Journal of Micro/Nanolithography, Mems, and Moems. 13. DOI: 10.1117/12.2046603  0.713
2014 Baltazar J, Sojoudi H, Paniagua SA, Zhang S, Lawson RA, Marder SR, Graham S, Tolbert LM, Henderson CL. Photochemical doping and tuning of the work function and dirac point in graphene using photoacid and photobase generators Advanced Functional Materials. 24: 5147-5156. DOI: 10.1002/Adfm.201303796  0.438
2013 Peters AJ, Lawson RA, Ludovice PJ, Henderson CL. Effects of block copolymer polydispersity and χN on pattern line edge roughness and line width roughness from directed self-assembly of diblock copolymers Proceedings of Spie - the International Society For Optical Engineering. 8680. DOI: 10.1117/12.2021443  0.727
2013 Lawson RA, Cheng J, Cheshmehkani A, Tolbert LM, Henderson CL. Positive tone resists based on network depolymerization of molecular resists Proceedings of Spie - the International Society For Optical Engineering. 8682. DOI: 10.1117/12.2021141  0.629
2013 Peters AJ, Lawson RA, Ludovice PJ, Henderson CL. Detailed molecular dynamics studies of block copolymer directed self-assembly: Effect of guiding layer properties Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 31. DOI: 10.1116/1.4821652  0.732
2012 Yeh WM, Lawson RA, Tolbert LM, Henderson CL. Application of aziridine reactive rinses in a post-development process to reduce photoresist pattern collapse Proceedings of Spie - the International Society For Optical Engineering. 8325. DOI: 10.1117/12.928877  0.642
2012 Yeh WM, Lawson RA, Tolbert LM, Henderson CL. Resist surface crosslinking using amine-based reactive rinses to mitigate pattern collapse in thin film lithography Proceedings of Spie - the International Society For Optical Engineering. 8325. DOI: 10.1117/12.928876  0.646
2012 Jarnagin ND, Cheng J, Peters A, Yeh WM, Lawson RA, Tolbert LM, Henderson CL. Investigation of high χ block copolymers for directed self-asssembly: Synthesis and characterization of PS-b-PHOST Proceedings of Spie - the International Society For Optical Engineering. 8323. DOI: 10.1117/12.918081  0.716
2012 Peters AJ, Lawson RA, Ludovice PJ, Henderson CL. Detailed mesoscale dynamic simulation of block copolymer directed self-assembly processes: Application of protracted colored noise dynamics Proceedings of Spie - the International Society For Optical Engineering. 8323. DOI: 10.1117/12.918077  0.689
2012 Cheng J, Lawson RA, Yeh WM, Jarnagin ND, Peters A, Tolbert LM, Henderson CL. Directed self-assembly of poly(styrene)-block-poly(acrylic acid) copolymers for sub-20nm pitch patterning Proceedings of Spie - the International Society For Optical Engineering. 8323. DOI: 10.1117/12.918073  0.71
2011 Yeh WM, Lawson RA, Tolbert LM, Henderson CL. The effect of drying rate on pattern collapse performance in thin film lithography Proceedings of Spie - the International Society For Optical Engineering. 7972. DOI: 10.1117/12.895114  0.594
2011 Yeh WM, Lawson RA, Henderson CL. A comprehensive model and method for model parameterization for predicting pattern collapse behavior in photoresist nanostructures Proceedings of Spie - the International Society For Optical Engineering. 7972. DOI: 10.1117/12.895112  0.589
2011 Yeh WM, Lawson RA, Tolbert LM, Henderson CL. A study of reactive adhesion promoters and their ability to mitigate pattern collapse in thin film lithography Proceedings of Spie - the International Society For Optical Engineering. 7972. DOI: 10.1117/12.894705  0.621
2011 Jing C, Lawson RA, Yeh WM, Tolbert LM, Henderson CL. Developing directly photodefinable substrate guiding layers for block copolymer directed self-assembly (DSA) patterning Proceedings of Spie - the International Society For Optical Engineering. 7972. DOI: 10.1117/12.894702  0.599
2011 Lawson RA, Ludovice PJ, Henderson CL. Development of realistic potentials for the simulation of directed self-assembly of PS-PMMA di-block copolymers Proceedings of Spie - the International Society For Optical Engineering. 7970. DOI: 10.1117/12.879578  0.527
2010 Yeh WM, Noga DE, Lawson RA, Tolbert LM, Henderson CL. Thin film buckling as a method to explore the effect of reactive rinse treatments on the mechanical properties of resist thin films Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.862009  0.532
2010 Noga DE, Yeh WM, Lawson RA, Tolbert LM, Henderson CL. The use of surface modifiers to mitigate pattern collapse in thin film lithography Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.862008  0.631
2010 Noga DE, Yeh WM, Lawson RA, Tolbert LM, Henderson CL. Methods to explore and prevent pattern collapse in thin film lithography Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.848423  0.628
2010 Lawson RA, Noga DE, Cheng J, Tolbert LM, Henderson CL. Non-traditional resist designs using molecular resists: Positive tone cross-linked and non-chemically amplified molecular resists Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.848419  0.658
2010 Lawson RA, Henderson CL. Three-dimensional mesoscale model for the simulation of LER in photoresists Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.848415  0.574
2010 Lawson RA, Cheng J, Noga DE, Younkin TR, Tolbert LM, Henderson CL. Aqueous and solvent developed negative-tone molecular resists Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.848414  0.614
2010 Lawson RA, Henderson CL. Mesoscale kinetic Monte Carlo simulations of molecular resists: Effects of photoacid homogeneity on resolution, line-edge roughness, and sensitivity Journal of Micro/Nanolithography, Mems, and Moems. 9. DOI: 10.1117/1.3358383  0.633
2010 Yeh WM, Noga DE, Lawson RA, Tolbert LM, Henderson CL. Comparison of positive tone versus negative tone resist pattern collapse behavior Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28. DOI: 10.1116/1.3518136  0.648
2010 Lawson RA, Henderson CL. Understanding the relationship between true and measured resist feature critical dimension and line edge roughness using a detailed scanning electron microscopy simulator Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28. DOI: 10.1116/1.3517717  0.577
2010 Lawson RA, Tolbert LM, Henderson CL. High sensitivity nonchemically amplified molecular resists based on photosensitive dissolution inhibitors Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28. DOI: 10.1116/1.3511790  0.615
2009 Noga DE, Lawson RA, Lee CT, Tolbert LM, Henderson CL. Understanding pattern collapse in high-resolution lithography: Impact of feature width on critical stress Proceedings of Spie - the International Society For Optical Engineering. 7273. DOI: 10.1117/12.829142  0.817
2009 Lawson RA, Noga DE, Tolbert LM, Henderson CL. Non-ionic PAG behavior under high energy exposure sources Proceedings of Spie - the International Society For Optical Engineering. 7273. DOI: 10.1117/12.814459  0.527
2009 Lawson RA, Tolbert LM, Younkin TR, Hendersona CL. Negative-tone molecular resists based on cationic polymerization Proceedings of Spie - the International Society For Optical Engineering. 7273. DOI: 10.1117/12.814455  0.448
2009 Lawson RA, Henderson CL. Mesoscale kinetic monte carlo simulations of molecular resists: The effect on PAG homogeneity on resolution, LER, and sensitivity Proceedings of Spie - the International Society For Optical Engineering. 7273. DOI: 10.1117/12.814447  0.63
2009 Lawson RA, Tolbert LM, Henderson CL. Single component molecular resists containing bound photoacid generator functionality Proceedings of Spie - the International Society For Optical Engineering. 7273. DOI: 10.1117/12.814426  0.664
2009 Lawson RA, Noga DE, Tolbert LM, Henderson CL. Nonionic photoacid generator behavior under high-energy exposure sources Journal of Micro/Nanolithography, Mems, and Moems. 8. DOI: 10.1117/1.3259205  0.533
2009 Lawson RA, Noga DE, Younkin TR, Tolbert LM, Henderson CL. Negative tone molecular resists using cationic polymerization: Comparison of epoxide and oxetane functional groups Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 27: 2998-3003. DOI: 10.1116/1.3264672  0.638
2009 Lawson RA, Yeh WM, Henderson CL. Bond contribution model for the prediction of glass transition temperature in polyphenol molecular glass resists Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 27: 3004-3009. DOI: 10.1116/1.3250264  0.61
2009 Lawson RA, Henderson CL. Mesoscale simulation of molecular resists: The effect of PAG distribution homogeneity on LER Microelectronic Engineering. 86: 741-744. DOI: 10.1016/J.Mee.2008.12.042  0.562
2009 Lawson RA, Lee CT, Tolbert LM, Younkin TR, Henderson CL. High resolution negative tone molecular resist based on di-functional epoxide polymerization Microelectronic Engineering. 86: 734-737. DOI: 10.1016/J.Mee.2008.11.097  0.811
2009 Lawson RA, Lee CT, Tolbert LM, Henderson CL. Effect of acid anion on the behavior of single component molecular resists incorporating ionic photoacid generators Microelectronic Engineering. 86: 738-740. DOI: 10.1016/J.Mee.2008.11.043  0.809
2008 Lawson RA, Lee CT, Yueh W, Tolbert L, Henderson CL. Mesoscale simulation of molecular glass photoresists: Effect of PAG loading and acid diffusion coefficient Proceedings of Spie - the International Society For Optical Engineering. 6923. DOI: 10.1117/12.774619  0.779
2008 Lawson RA, Lee CT, Yueh W, Tolbert L, Henderson CL. Single molecule chemically amplified resists based on ionic and non-ionic PAGs Proceedings of Spie - the International Society For Optical Engineering. 6923. DOI: 10.1117/12.773570  0.807
2008 Lawson RA, Lee CT, Yueh W, Tolbert L, Henderson CL. Water developable negative tone single molecule resists: High sensitivity non-chemically amplified resists Proceedings of Spie - the International Society For Optical Engineering. 6923. DOI: 10.1117/12.773188  0.795
2008 Lee CT, Lawson RA, Henderson CL. Understanding the effects of photoacid distribution homogeneity and diffusivity on critical dimension control and line edge roughness in chemically amplified resists Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 2276-2280. DOI: 10.1116/1.2976601  0.805
2008 Lawson RA, Lee CT, Yueh W, Tolbert L, Henderson CL. Epoxide functionalized molecular resists for high resolution electron-beam lithography Microelectronic Engineering. 85: 959-962. DOI: 10.1016/J.Mee.2008.01.080  0.812
2007 Lawson RA, Lee CT, Whetsell R, Yueh W, Roberts J, Tolbert L, Henderson CL. Molecular glass photoresists containing photoacid generator functionality: A route to a single molecule photoresist Proceedings of Spie - the International Society For Optical Engineering. 6519. DOI: 10.1117/12.712928  0.799
2007 Lawson RA, Lee CT, Henderson CL, Whetsell R, Tolbert L, Yueh W. Influence of solubility switching mechanism on resist performance in molecular glass resists Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 25: 2140-2144. DOI: 10.1116/1.2801885  0.802
Show low-probability matches.