Elad Alon, Ph.D. - Publications

Affiliations: 
Electrical Engineering and Computer Science University of California, Berkeley, Berkeley, CA, United States 
 2007 Stanford University, Palo Alto, CA 
Area:
Integrated Circuits (INC); Micro/Nano Electro Mechanical Systems (MEMS); Communications & Networking (COMNET); Design, Modeling and Analysis (DMA)

88 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Naing TL, Rocheleau TO, Alon E, Nguyen CT. Low-Power MEMS-Based Pierce Oscillator Using a 61-MHz Capacitive-Gap Disk Resonator. Ieee Transactions On Ultrasonics, Ferroelectrics, and Frequency Control. PMID 31995483 DOI: 10.1109/Tuffc.2020.2969530  0.474
2019 Bailey S, Rigge P, Han J, Lin R, Chang EY, Mao H, Wang Z, Markley C, Izraelevitz AM, Wang A, Narevsky N, Bae W, Shauck S, Montano S, Norsworthy J, ... ... Alon E, et al. A Mixed-Signal RISC-V Signal Analysis SoC Generator With a 16-nm FinFET Instance Ieee Journal of Solid-State Circuits. 54: 2786-2801. DOI: 10.1109/Jssc.2019.2924090  0.389
2019 Wang A, Bae W, Han J, Bailey S, Ocal O, Rigge P, Wang Z, Ramchandran K, Alon E, Nikolic B. A Real-Time, 1.89-GHz Bandwidth, 175-kHz Resolution Sparse Spectral Analysis RISC-V SoC in 16-nm FinFET Ieee Journal of Solid-State Circuits. 54: 1993-2008. DOI: 10.1109/Jssc.2019.2913099  0.411
2018 Kuo N, Yang B, Wang A, Kong L, Wu C, Srini VP, Alon E, Nikolic B, Niknejad AM. A 0.4-to-4-GHz All-Digital RF Transmitter Package With a Band-Selecting Interposer Combining Three Wideband CMOS Transmitters Ieee Transactions On Microwave Theory and Techniques. 66: 4967-4984. DOI: 10.1109/Tmtt.2018.2860007  0.655
2018 Jung S, Kwon P, Piech D, Maharbiz M, Rabaey J, Alon E. A 2.7-$\mu$ W Neuromodulation AFE With 200 mVpp Differential-Mode Stimulus Artifact Canceler Including On-Chip LMS Adaptation Ieee Solid-State Circuits Letters. 1: 194-197. DOI: 10.1109/LSSC.2019.2908090  0.633
2018 Trotskovsky K, Whitcombe A, Lacaille G, Puglielli A, Lu P, Wang Z, Narevsky N, Wright G, Niknejad AM, Nikolic B, Alon E. A 0.25–1.7-GHz, 3.9–13.7-mW Power-Scalable, −10-dBm Harmonic Blocker-Tolerant Mixer-First RF-to-Digital Receiver for Massive MIMO Applications Ieee Solid-State Circuits Letters. 1: 38-41. DOI: 10.1109/LSSC.2018.2813010  0.309
2018 Yang B, Chang EY, Niknejad AM, Nikolic B, Alon E. A 65-nm CMOS $I/Q$ RF Power DAC With 24- to 42-dB Third-Harmonic Cancellation and Up to 18-dB Mixed-Signal Filtering Ieee Journal of Solid-State Circuits. 53: 1127-1138. DOI: 10.1109/Jssc.2017.2782084  0.459
2017 Kuo N, Yang B, Wang A, Kong L, Wu C, Srini VP, Alon E, Nikolic B, Niknejad AM. A Wideband All-Digital CMOS RF Transmitter on HDI Interposers With High Power and Efficiency Ieee Transactions On Microwave Theory and Techniques. 65: 4724-4743. DOI: 10.1109/Tmtt.2017.2731309  0.676
2017 Han J, Sutardja N, Lu Y, Alon E. Design Techniques for a 60-Gb/s 288-mW NRZ Transceiver With Adaptive Equalization and Baud-Rate Clock and Data Recovery in 65-nm CMOS Technology Ieee Journal of Solid-State Circuits. 52: 3474-3485. DOI: 10.1109/Jssc.2017.2740268  0.415
2017 Xiao X, Pratt A, Yang B, Wang A, Niknejad AM, Alon E, Nikolic B. A 65-nm CMOS Wideband TDD Front-End With Integrated T/R Switching via PA Re-Use Ieee Journal of Solid-State Circuits. 52: 1768-1782. DOI: 10.1109/Jssc.2017.2702669  0.41
2017 Calderin L, Ramakrishnan S, Puglielli A, Alon E, Nikolic B, Niknejad AM. Analysis and Design of Integrated Active Cancellation Transceiver for Frequency Division Duplex Systems Ieee Journal of Solid-State Circuits. 52: 2038-2054. DOI: 10.1109/Jssc.2017.2700360  0.432
2017 Keller B, Cochet M, Zimmer B, Kwak J, Puggelli A, Lee Y, Blagojevic M, Bailey S, Chiu P, Dabbelt P, Schmidt C, Alon E, Asanovic K, Nikolic B. A RISC-V Processor SoC With Integrated Power Management at Submicrosecond Timescales in 28 nm FD-SOI Ieee Journal of Solid-State Circuits. 52: 1863-1875. DOI: 10.1109/Jssc.2017.2690859  0.458
2017 Maharbiz MM, Muller R, Alon E, Rabaey JM, Carmena JM. Reliable Next-Generation Cortical Interfaces for Chronic Brain–Machine Interfaces and Neuroscience Proceedings of the Ieee. 105: 73-82. DOI: 10.1109/JPROC.2016.2574938  0.697
2016 Moin A, Alexandrov G, Johnson BC, Izyumin I, Burghardt F, Shah K, Pannu S, Alon E, Muller R, Rabaey JM. Powering and communication for OMNI: A distributed and modular closed-loop neuromodulation device. Conference Proceedings : ... Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual Conference. 2016: 4471-4474. PMID 28269271 DOI: 10.1109/EMBC.2016.7591720  0.541
2016 Moin A, Alexandrov G, Johnson BC, Izyumin I, Burghardt F, Shah K, Pannu S, Alon E, Muller R, Rabaey JM, Moin A, Alexandrov G, Johnson BC, Izyumin I, Burghardt F, ... ... Alon E, ... ... Alon E, et al. Powering and communication for OMNI: A distributed and modular closed-loop neuromodulation device. Conference Proceedings : ... Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual Conference. 2016: 4471-4474. PMID 28227505 DOI: 10.1109/EMBC.2016.7591720  0.541
2016 Seo D, Neely RM, Shen K, Singhal U, Alon E, Rabaey JM, Carmena JM, Maharbiz MM. Wireless Recording in the Peripheral Nervous System with Ultrasonic Neural Dust. Neuron. 91: 529-39. PMID 27497221 DOI: 10.1016/J.Neuron.2016.06.034  0.768
2016 Tang HY, Seo D, Singhal U, Li X, Maharbiz MM, Alon E, Boser BE. Miniaturizing Ultrasonic System for Portable Health Care and Fitness. Ieee Transactions On Biomedical Circuits and Systems. PMID 26780818 DOI: 10.1109/Tbcas.2015.2508439  0.793
2016 Ramakrishnan S, Calderin L, Puglielli A, Alon E, Niknejad A, Nikolic B. A 65nm CMOS transceiver with integrated active cancellation supporting FDD from 1GHz to 1.8GHz at +12.6dBm TX power leakage Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. 2016. DOI: 10.1109/VLSIC.2016.7573499  0.356
2016 Lee Y, Waterman A, Cook H, Zimmer B, Keller B, Puggelli A, Kwak J, Jevtic R, Bailey S, Blagojevic M, Chiu PF, Avizienis R, Richards B, Bachrach J, Patterson D, ... Alon E, et al. An agile approach to building RISC-V microprocessors Ieee Micro. 36: 8-20. DOI: 10.1109/Mm.2016.11  0.41
2016 Sinencio ES, Mulder J, Liscidini A, Klumperink EAM, Alon E. Introduction to the December Special Issue on the 2016 IEEE International Solid-State Circuits Conference Ieee Journal of Solid-State Circuits. 51: 2803-2807. DOI: 10.1109/Jssc.2016.2621918  0.337
2016 Han J, Lu Y, Sutardja N, Jung K, Alon E. Design Techniques for a 60 Gb/s 173 mW Wireline Receiver Frontend in 65 nm CMOS Technology Ieee Journal of Solid-State Circuits. DOI: 10.1109/Jssc.2016.2519389  0.455
2016 Zimmer B, Lee Y, Puggelli A, Kwak J, Jevtic R, Keller B, Bailey S, Blagojevic M, Chiu PF, Le HP, Chen PH, Sutardja N, Avizienis R, Waterman A, Richards B, ... ... Alon E, et al. A RISC-V Vector Processor With Simultaneous-Switching Switched-Capacitor DC–DC Converters in 28 nm FDSOI Ieee Journal of Solid-State Circuits. DOI: 10.1109/Jssc.2016.2519386  0.406
2015 Dongjin Seo, Hao-Yen Tang, Carmena JM, Rabaey JM, Alon E, Boser BE, Maharbiz MM. Ultrasonic beamforming system for interrogating multiple implantable sensors. Conference Proceedings : ... Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual Conference. 2015: 2673-2676. PMID 26736842 DOI: 10.1109/EMBC.2015.7318942  0.774
2015 Seo D, Carmena JM, Rabaey JM, Maharbiz MM, Alon E. Model validation of untethered, ultrasonic neural dust motes for cortical recording. Journal of Neuroscience Methods. 244: 114-22. PMID 25109901 DOI: 10.1016/J.Jneumeth.2014.07.025  0.784
2015 Jevtić R, Le HP, Blagojević M, Bailey S, Asanović K, Alon E, Nikolić B. Per-Core DVFS with Switched-Capacitor Converters for Energy Efficiency in Manycore Processors Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 23: 723-730. DOI: 10.1109/Tvlsi.2014.2316919  0.423
2015 Mazzanti A, Alon E. Introduction to the Special Issue on the IEEE 2014 Custom Integrated Circuits Conference Ieee Journal of Solid-State Circuits. 50: 1739-1740. DOI: 10.1109/Jssc.2015.2456571  0.376
2015 Biederman W, Yeager DJ, Narevsky N, Leverett J, Neely R, Carmena JM, Alon E, Rabaey JM. A 4.78 mm2 Fully-Integrated Neuromodulation SoC Combining 64 Acquisition Channels with Digital Compression and Simultaneous Dual Stimulation Ieee Journal of Solid-State Circuits. 50: 1038-1047. DOI: 10.1109/JSSC.2014.2384736  0.657
2015 Pillonnet G, Andrieu A, Alon E. Dual-Input Switched Capacitor Converter Suitable for Wide Voltage Gain Range Ieee Journal On Emerging and Selected Topics in Circuits and Systems. 5: 413-420. DOI: 10.1109/Jetcas.2015.2462014  0.456
2015 Puglielli A, Narevsky N, Lu P, Courtade T, Wright G, Nikolic B, Alon E. A scalable massive MIMO array architecture based on common modules 2015 Ieee International Conference On Communication Workshop, Iccw 2015. 1310-1315. DOI: 10.1109/ICCW.2015.7247359  0.334
2014 Bertrand A, Seo D, Maksimovic F, Carmena JM, Maharbiz MM, Alon E, Rabaey JM. Beamforming approaches for untethered, ultrasonic neural dust motes for cortical recording: a simulation study. Conference Proceedings : ... Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual Conference. 2014: 2625-8. PMID 25570529 DOI: 10.1109/EMBC.2014.6944161  0.758
2014 Moradi E, Koski K, Björninen T, Muller R, Ledochowitsch P, Sydänheimo L, Alon E, Maharbiz MM, Rabaey JM, Ukkonen L, Rahmat-Samii Y. Advances in implantable and wearable antennas for wireless brain-machine interface systems 2014 United States National Committee of Ursi National Radio Science Meeting, Usnc-Ursi Nrsm 2014. DOI: 10.1109/USNC-URSI-NRSM.2014.6928137  0.632
2014 Yeager D, Biederman W, Narevsky N, Leverett J, Neely R, Carmena J, Alon E, Rabaey J. A 4.78mm2 fully-integrated neuromodulation SoC combining 64 acquisition channels with digital compression and simultaneous dual stimulation Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. DOI: 10.1109/Jssc.2014.2384736  0.714
2014 Muller R, Le HP, Li W, Ledochowitsch P, Gambini S, Bjorninen T, Koralek A, Carmena JM, Maharbiz MM, Alon E, Rabaey JM. A Minimally Invasive 64-Channel Wireless μeCoG Implant Ieee Journal of Solid-State Circuits. 50: 344-359. DOI: 10.1109/Jssc.2014.2364824  0.807
2014 Thakkar C, Narevsky N, Hull CD, Alon E. Design Techniques for a Mixed-Signal I/Q 32-Coefficient Rx-Feedforward Equalizer, 100-Coefficient Decision Feedback Equalizer in an 8 Gb/s 60 GHz 65 nm LP CMOS Receiver Ieee Journal of Solid-State Circuits. 49: 2588-2607. DOI: 10.1109/Jssc.2014.2360917  0.406
2014 Wu C, Alon E, Nikolic B. A Wideband 400 MHz-to-4 GHz Direct RF-to-Digital Multimode ΔΣ Receiver Ieee Journal of Solid-State Circuits. 49: 1639-1652. DOI: 10.1109/Jssc.2014.2319249  0.476
2014 Duan Y, Alon E. A 12.8 GS/s Time-Interleaved ADC With 25 GHz Effective Resolution Bandwidth and 4.6 ENOB Ieee Journal of Solid-State Circuits. 49: 1725-1738. DOI: 10.1109/Jssc.2014.2314448  0.48
2014 Muller R, Le HP, Li W, Ledochowitsch P, Gambini S, Bjorninen T, Koralek A, Carmena JM, Maharbiz MM, Alon E, Rabaey JM. 24.1 A miniaturized 64-channel 225μW wireless electrocorticographic neural sensor Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 57: 412-413. DOI: 10.1109/ISSCC.2014.6757492  0.707
2013 Marblestone AH, Zamft BM, Maguire YG, Shapiro MG, Cybulski TR, Glaser JI, Amodei D, Stranges PB, Kalhor R, Dalrymple DA, Seo D, Alon E, Maharbiz MM, Carmena JM, Rabaey JM, et al. Physical principles for scalable neural recording. Frontiers in Computational Neuroscience. 7: 137. PMID 24187539 DOI: 10.3389/Fncom.2013.00137  0.766
2013 Sanders SR, Alon E, Le HP, Seeman MD, John M, Ng VW. The road to fully integrated DC-DC conversion via the switched-capacitor approach Ieee Transactions On Power Electronics. 28: 4146-4155. DOI: 10.1109/Tpel.2012.2235084  0.352
2013 Ye L, Chen J, Kong L, Alon E, Niknejad AM. Design considerations for a direct digitally modulated WLAN transmitter with integrated phase path and dynamic impedance modulation Ieee Journal of Solid-State Circuits. 48: 3160-3177. DOI: 10.1109/Jssc.2013.2281142  0.659
2013 Lu Y, Alon E. Design Techniques for a 66 Gb/s 46 mW 3-Tap Decision Feedback Equalizer in 65 nm CMOS Ieee Journal of Solid-State Circuits. 48: 3243-3257. DOI: 10.1109/Jssc.2013.2278804  0.43
2013 Lu Y, Jung K, Hidaka Y, Alon E. Design and analysis of energy-efficient reconfigurable pre-emphasis voltage-mode transmitters Ieee Journal of Solid-State Circuits. 48: 1898-1909. DOI: 10.1109/Jssc.2013.2258790  0.452
2013 Biederman W, Yeager DJ, Narevsky N, Koralek AC, Carmena JM, Alon E, Rabaey JM. A fully-integrated, miniaturized (0.125 mm2) 10.5 μw wireless neural sensor Ieee Journal of Solid-State Circuits. 48: 960-970. DOI: 10.1109/Jssc.2013.2238994  0.743
2013 Ye L, Chen J, Kong L, Cathelin P, Alon E, Niknejad A. A digitally modulated 2.4GHz WLAN transmitter with integrated phase path and dynamic load modulation in 65nm CMOS Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 56: 330-331. DOI: 10.1109/ISSCC.2013.6487756  0.412
2013 Kong L, Seo D, Alon E. A 50mW-TX 65mW-RX 60GHz 4-element phased-array transceiver with integrated antennas in 65nm CMOS Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 56: 234-235. DOI: 10.1109/ISSCC.2013.6487714  0.747
2013 Duan Y, Alon E. A 12.8GS/s time-interleaved SAR ADC with 25GHz 3dB ERBW and 4.6b ENOB Proceedings of the Custom Integrated Circuits Conference. DOI: 10.1109/CICC.2013.6658418  0.359
2012 Yeager D, Biederman W, Narevsky N, Alon E, Rabaey J. A fully-integrated 10.5μW miniaturized (0.125mm 2) wireless neural sensor Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. 72-73. DOI: 10.1109/VLSIC.2012.6243795  0.619
2012 Park JD, Kang S, Thyagarajan SV, Alon E, Niknejad AM. A 260 GHz fully integrated CMOS transceiver for wireless chip-to-chip communication Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. 48-49. DOI: 10.1109/VLSIC.2012.6243783  0.304
2012 Liu TJK, Markovic D, Stojanovic V, Alon E. The relay reborn Ieee Spectrum. 49: 38-43. DOI: 10.1109/Mspec.2012.6172808  0.643
2012 Jeon J, Hutin L, Jevtić R, Liu N, Chen Y, Nathanael R, Kwon W, Spencer M, Alon E, Nikolić B, Liu TJK. Multiple-input relay design for more compact implementation of digital logic circuits Ieee Electron Device Letters. 33: 281-283. DOI: 10.1109/Led.2011.2177436  0.389
2012 Liu FY, Patil D, Lexau J, Amberg P, Dayringer M, Gainsley J, Moghadam HF, Zheng X, Cunningham JE, Krishnamoorthy AV, Alon E, Ho R. 10-Gbps, 5.3-mW Optical Transmitter and Receiver Circuits in 40-nm CMOS Ieee Journal of Solid-State Circuits. 47: 2049-2067. DOI: 10.1109/Jssc.2012.2197234  0.492
2012 Chowdhury D, Thyagarajan SV, Ye L, Alon E, Niknejad AM. A fully-integrated efficient CMOS inverse class-D power amplifier for digital polar transmitters Ieee Journal of Solid-State Circuits. 47: 1113-1122. DOI: 10.1109/Jssc.2012.2185555  0.434
2012 Thakkar C, Kong L, Jung K, Frappe A, Alon E. A 10 Gb/s 45 mW Adaptive 60 GHz Baseband in 65 nm CMOS Ieee Journal of Solid-State Circuits. 47: 952-968. DOI: 10.1109/Jssc.2012.2184651  0.655
2012 Gambini S, Crossley J, Alon E, Rabaey JM. A fully integrated, 290 pJ/bit UWB dual-mode transceiver for cm-range wireless interconnects Ieee Journal of Solid-State Circuits. 47: 586-598. DOI: 10.1109/Jssc.2011.2177690  0.658
2012 Lu Y, Jung K, Hidaka Y, Alon E. A 10Gb/s 10mW 2-tap reconfigurable pre-emphasis transmitter in 65nm LP CMOS Proceedings of the Custom Integrated Circuits Conference. DOI: 10.1109/CICC.2012.6330581  0.34
2012 Biederman W, Yeager D, Alon E, Rabaey J. A CMOS switched-capacitor fractional bandgap reference Proceedings of the Custom Integrated Circuits Conference. DOI: 10.1109/CICC.2012.6330568  0.565
2011 Zheng X, Patil D, Lexau J, Liu F, Li G, Thacker H, Luo Y, Shubin I, Li J, Yao J, Dong P, Feng D, Asghari M, Pinguet T, Mekis A, ... ... Alon E, et al. Ultra-efficient 10 Gb/s hybrid integrated silicon photonic transmitter and receiver. Optics Express. 19: 5172-86. PMID 21445153 DOI: 10.1364/Oe.19.005172  0.799
2011 Zheng X, Patil D, Lexau J, Liu F, Li G, Thacker H, Luo Y, Shubin I, Li J, Yao J, Dong P, Feng D, Asghari M, Pinguet T, Mekis A, ... ... Alon E, et al. Ultra-efficient 10Gb/s hybrid integrated silicon photonic transmitter and receiver Optics Express. 19: 5172-5186. DOI: 10.1364/OE.19.005172  0.413
2011 Kam H, Liu TJK, Stojanović V, Marković D, Alon E. Design, optimization, and scaling of MEM relays for ultra-low-power digital logic Ieee Transactions On Electron Devices. 58: 236-250. DOI: 10.1109/Ted.2010.2082545  0.651
2011 Tabesh M, Chen J, Marcu C, Kong L, Kang S, Alon E, Niknejad A. A 65nm CMOS 4-element sub-34mW/element 60GHz phased-array transceiver Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 166-167. DOI: 10.1109/Jssc.2011.2166030  0.617
2011 Tabesh M, Chen J, Marcu C, Kong L, Kang S, Niknejad AM, Alon E. A 65 nm CMOS 4-element sub-34 mW/element 60 GHz phased-array transceiver Ieee Journal of Solid-State Circuits. 46: 3018-3032. DOI: 10.1109/JSSC.2011.2166030  0.318
2011 Le HP, Sanders SR, Alon E. Design techniques for fully integrated switched-capacitor DC-DC converters Ieee Journal of Solid-State Circuits. 46: 2120-2131. DOI: 10.1109/Jssc.2011.2159054  0.436
2011 Chowdhury D, Ye L, Alon E, Niknejad AM. An efficient mixed-signal 2.4-GHz polar power amplifier in 65-nm CMOS technology Ieee Journal of Solid-State Circuits. 46: 1796-1809. DOI: 10.1109/Jssc.2011.2155790  0.499
2011 Spencer M, Chen F, Wang CC, Nathanael R, Fariborzi H, Gupta A, Kam H, Pott V, Jeon J, Liu TJK, Marković D, Alon E, Stojanović V. Demonstration of integrated micro-electro-mechanical relay circuits for VLSI applications Ieee Journal of Solid-State Circuits. 46: 308-320. DOI: 10.1109/Jssc.2010.2074370  0.664
2010 Zheng X, Liu F, Patil D, Thacker H, Luo Y, Pinguet T, Mekis A, Yao J, Li G, Shi J, Raj K, Lexau J, Alon E, Ho R, Cunningham JE, et al. A sub-picojoule-per-bit CMOS photonic receiver for densely integrated systems. Optics Express. 18: 204-11. PMID 20173840 DOI: 10.1364/Oe.18.000204  0.796
2010 Gambini S, Crossley J, Alon E, Rabaey J. A fully integrated, 300pJ/bit, dual mode wireless transceiver for cm-range interconnects Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. 31-32. DOI: 10.1109/VLSIC.2010.5560262  0.517
2010 Ho R, Liu F, Patil D, Zheng X, Li G, Shubin I, Alon E, Lexau J, Schwetman H, Cunningham J, Krishnamoorthy AV. Optical interconnect for high-end computer systems Ieee Design and Test of Computers. 27: 10-18. DOI: 10.1109/Mdt.2010.31  0.345
2010 Nathanael R, Pott V, Kam H, Jeon J, Alon E, Liu TJK. Four-terminal-relay body-biasing schemes for complementary logic circuits Ieee Electron Device Letters. 31: 890-892. DOI: 10.1109/Led.2010.2050133  0.37
2010 Jeon J, Pott V, Kam H, Nathanael R, Alon E, Liu TJK. Perfectly complementary relay design for digital logic applications Ieee Electron Device Letters. 31: 371-373. DOI: 10.1109/Led.2009.2039916  0.41
2010 Jeon J, Pott V, Kam H, Nathanael R, Alon E, King Liu TJ. Seesaw relay logic and memory circuits Journal of Microelectromechanical Systems. 19: 1012-1014. DOI: 10.1109/Jmems.2010.2049826  0.319
2010 Chen F, Spencer M, Nathanael R, Wang C, Fariborzi H, Gupta A, Kam H, Pott V, Jeon J, King Liu TJ, Markovic D, Stojanovic V, Alon E. Demonstration of integrated micro-electro-mechanical switch circuits for VLSI applications Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 53: 150-151. DOI: 10.1109/ISSCC.2010.5434010  0.582
2010 Le HP, Seeman M, Sanders SR, Sathe V, Naffziger S, Alon E. A 32nm fully integrated reconfigurable switched-capacitor DC-DC converter delivering 0.55W/mm2 at 81% efficiency Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 53: 210-211. DOI: 10.1109/ISSCC.2010.5433981  0.339
2010 Liu TJK, Jeon J, Nathanael R, Kam H, Pott V, Alon E. Prospects for MEM logic switch technology Technical Digest - International Electron Devices Meeting, Iedm. 18.3.1-18.3.4. DOI: 10.1109/IEDM.2010.5703386  0.315
2010 Chowdhury D, Ye L, Alon E, Niknejad AM. A 2.4GHz mixed-signal polar power amplifier with low-power integrated filtering in 65nm CMOS Proceedings of the Custom Integrated Circuits Conference. DOI: 10.1109/CICC.2010.5617400  0.392
2010 Fariborzi H, Spencer M, Karkare V, Jeon J, Nathanael R, Wang C, Chen F, Kam H, Pott V, Liu TJK, Alon E, Stojanović V, Marković D. Analysis and demonstration of MEM-relay power gating Proceedings of the Custom Integrated Circuits Conference. DOI: 10.1109/CICC.2010.5617380  0.667
2009 Alon E, Abramzon V, Nezamfar B, Horowitz M. On-Die Power Supply Noise Measurement Techniques Ieee Transactions On Advanced Packaging. 32: 248-259. DOI: 10.1109/Tadvp.2009.2012521  0.595
2009 Marcu C, Chowdhury D, Thakkar C, Park JD, Kong LK, Tabesh M, Wang Y, Afshar B, Gupta A, Arbabian A, Gambini S, Zamani R, Alon E, Niknejad AM. A 90 nm CMOS low-power 60 GHz transceiver with integrated baseband circuitry Ieee Journal of Solid-State Circuits. 44: 3434-3447. DOI: 10.1109/JSSC.2009.2032584  0.425
2009 Nezamfar B, Alon E, Horowitz M. Energy–Performance Tunable Logic Ieee Journal of Solid-State Circuits. 44: 2554-2567. DOI: 10.1109/Jssc.2009.2025344  0.624
2009 Marcu C, Chowdhury D, Thakkar C, Kong LK, Tabesh M, Park JD, Wang Y, Afshar B, Gupta A, Arbabian A, Gambini S, Zamani R, Niknejad AM, Alon E. A 90nm CMOS low-power 60GHz transceiver with integrated baseband circuitry Digest of Technical Papers - Ieee International Solid-State Circuits Conference. DOI: 10.1109/ISSCC.2009.4977434  0.379
2009 Kam H, Pott V, Nathanael R, Jeon J, Alon E, Liu TJK. Design and reliability of a micro-relay technology for zero-standby-power digital logic applications Technical Digest - International Electron Devices Meeting, Iedm. 33.7.1-33.7.4. DOI: 10.1109/IEDM.2009.5424218  0.353
2008 Wang LTN, Poppe WJ, Pang LT, Neureuther AR, Alon E, Nikolic B. Hypersensitive parameter-identifying ring oscillators for lithography process monitoring Proceedings of Spie - the International Society For Optical Engineering. 6925. DOI: 10.1117/12.773184  0.313
2008 Alon E, Horowitz M. Integrated Regulation for Energy-Efficient Digital Circuits Ieee Journal of Solid-State Circuits. 43: 1795-1807. DOI: 10.1109/Jssc.2008.925403  0.639
2008 Horowitz M, Stark D, Alon E. Digital Circuit Design Trends Ieee Journal of Solid-State Circuits. 43: 757-761. DOI: 10.1109/Jssc.2008.917523  0.578
2008 Chen F, Kam H, Marković D, Liu TJK, Stojanović V, Alon E. Integrated circuit design with NEM relays Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 750-757. DOI: 10.1109/ICCAD.2008.4681660  0.612
2006 Alon E, Kim J, Pamarti S, Chang K, Horowitz M. Replica Compensated Linear Regulators for Supply-Regulated Phase-Locked Loops Ieee Journal of Solid-State Circuits. 41: 413-424. DOI: 10.1109/Jssc.2005.862347  0.753
2006 Naffziger S, Stackhouse B, Grutkowski T, Josephson D, Desai J, Alon E, Horowitz M. The Implementation of a 2-Core, Multi-Threaded Itanium Family Processor Ieee Journal of Solid-State Circuits. 41: 197-209. DOI: 10.1109/Jssc.2005.859894  0.611
2005 Stojanovic V, Ho A, Garlepp B, Chen F, Wei J, Tsang G, Alon E, Kollipara R, Werner C, Zerbe J, Horowitz M. Autonomous dual-mode (PAM2/4) serial link transceiver with adaptive equalization and data recovery Ieee Journal of Solid-State Circuits. 40: 1012-1026. DOI: 10.1109/Jssc.2004.842863  0.628
2005 Alon E, Stojanovic V, Horowitz M. Circuits and techniques for high-resolution measurement of on-chip power supply noise Ieee Journal of Solid-State Circuits. 40: 820-828. DOI: 10.1109/Jssc.2004.842853  0.661
Show low-probability matches.