Zhiru Zhang, Ph.D. - Publications

Affiliations: 
2007 University of California, Los Angeles, Los Angeles, CA 
Area:
Computer system architecture, energy-efficient computing, reconfigurable computing, electronic design automation, fault-tolerant design of VLSI systems, design for nanotechnologies, design and analysis of algorithms

16 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2021 Guo L, Chi Y, Wang J, Lau J, Qiao W, Ustun E, Zhang Z, Cong J. AutoBridge: Coupling Coarse-Grained Floorplanning and Pipelining for High-Frequency HLS Design on Multi-Die FPGAs. Fpga. Acm International Symposium On Field-Programmable Gate Arrays. 2021: 81-92. PMID 33851145 DOI: 10.1145/3431920.3439289  0.575
2019 Liu G, Zhang Z. PIMap: A Flexible Framework for Improving LUT-Based Technology Mapping via Parallelized Iterative Optimization Acm Transactions On Reconfigurable Technology and Systems. 11: 23. DOI: 10.1145/3268344  0.673
2018 Davidson S, Xie S, Torng C, Al-Hawai K, Rovinski A, Ajayi T, Vega L, Zhao C, Zhao R, Dai S, Amarnath A, Veluri B, Gao P, Rao A, Liu G, ... ... Zhang Z, et al. The Celerity Open-Source 511-Core RISC-V Tiered Accelerator Fabric: Fast Architectures and Design Methodologies for Fast Chips Ieee Micro. 38: 30-41. DOI: 10.1109/Mm.2018.022071133  0.609
2017 Liu G, Tan M, Dai S, Zhao R, Zhang Z. Architecture and Synthesis for Area-Efficient Pipelining of Irregular Loop Nests Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 36: 1817-1830. DOI: 10.1109/Tcad.2017.2664067  0.593
2016 Hu J, Lin Y, Zhang Z, Xiang T, Mei Y, Zhao S, Liang Y, Peng N. High-titer lactic acid production by Lactobacillus pentosus FL0421 from corn stover using fed-batch simultaneous saccharification and fermentation. Bioresource Technology. 214: 74-80. PMID 27128191 DOI: 10.1016/j.biortech.2016.04.034  0.457
2016 Chen D, Zhang Z, Zhao W. Fujita-Kato theorem for the 3-D inhomogeneous Navier-Stokes equations Journal of Differential Equations. 261: 738-761. DOI: 10.1016/j.jde.2016.03.024  0.327
2016 Liu SX, Xiao B, Zhang ZY, Duan DZ. Microstructural characterization of diamond/CBN grains steel braze joint interface using Cu-Sn-Ti active filler alloy International Journal of Refractory Metals and Hard Materials. 54: 54-59. DOI: 10.1016/j.ijrmhm.2015.07.008  0.341
2016 Xu S, Ye D, Lian T, Cai J, Xiao Z, Huang Y, Chen X, Zhang Z. Assessment of severity of leukoaraiosis: A diffusional kurtosis imaging study Clinical Imaging. 40: 732-738. DOI: 10.1016/j.clinimag.2016.02.018  0.439
2015 Li E, Chang CC, Zhang Z, Li Q. Characterization of tissue scaffolds for time-dependent biotransport criteria - a novel computational procedure. Computer Methods in Biomechanics and Biomedical Engineering. 1-15. PMID 26718135 DOI: 10.1080/10255842.2015.1124268  0.478
2015 Cong J, Fan T, Yang X, Shen J, Cheng G, Zhang Z. Maternal cardiac remodeling and dysfunction in preeclampsia: a three-dimensional speckle-tracking echocardiography study. The International Journal of Cardiovascular Imaging. PMID 26077816 DOI: 10.1007/s10554-015-0694-y  0.403
2015 Cong J, Yang X, Zhang N, Shen J, Fan T, Zhang Z. Quantitative analysis of left atrial volume and function during normotensive and preeclamptic pregnancy: a real-time three-dimensional echocardiography study. The International Journal of Cardiovascular Imaging. 31: 805-12. PMID 25702192 DOI: 10.1007/s10554-015-0628-8  0.399
2015 Cong J, Fan T, Yang X, Squires JW, Cheng G, Zhang L, Zhang Z. Structural and functional changes in maternal left ventricle during pregnancy: a three-dimensional speckle-tracking echocardiography study. Cardiovascular Ultrasound. 13: 6. PMID 25626356 DOI: 10.1186/1476-7120-13-6  0.409
2015 Zhang Z, Chen D, Dai S, Campbell KA. High-level Synthesis for Low-power Design Ipsj Transactions On System Lsi Design Methodology. 8: 12-25. DOI: 10.2197/Ipsjtsldm.8.12  0.701
2011 Cong J, Liu B, Neuendorffer S, Noguera J, Vissers K, Zhang Z. High-Level Synthesis for FPGAs: From Prototyping to Deployment Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 30: 473-491. DOI: 10.1109/Tcad.2011.2110592  0.609
2010 Cong J, Liu B, Majumdar R, Zhang Z. Behavior-level observability analysis for operation gating in low-power behavioral synthesis Acm Transactions On Design Automation of Electronic Systems. 16. DOI: 10.1145/1870109.1870113  0.547
2004 Cong J, Fan Y, Han G, Yang X, Zhang Z. Architecture and synthesis for on-chip multicycle communication Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 23: 550-564. DOI: 10.1109/Tcad.2004.825872  0.727
Show low-probability matches.