Eric Rotenberg

Affiliations: 
North Carolina State University, Raleigh, NC 
Area:
Electronics and Electrical Engineering, Computer Science
Google:
"Eric Rotenberg"

Children

Sign in to add trainee
Zachary R. Purser grad student 2003 NCSU
Aravindh V. Anantaraman grad student 2006 NCSU
Ali A. El-Haj Mahmoud grad student 2006 NCSU
Ravi K. Venkatesan grad student 2006 NCSU
Ahmed S. Al-Zawawi grad student 2007 NCSU
Vimal K. Reddy grad student 2007 NCSU
Hashem Hashemi grad student 2010 NCSU
Niket K. Choudhary grad student 2012 NCSU
Sandeep S. Navada grad student 2012 NCSU
Salil V. Wadhavkar grad student 2012 NCSU
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Chowdhury RBR, Kannepalli AK, Ku S, et al. (2016) AnyCore: A synthesizable RTL model for exploring and fabricating adaptive superscalar cores Ispass 2016 - International Symposium On Performance Analysis of Systems and Software. 214-224
Sheikh R, Tuck J, Rotenberg E. (2015) Control-flow decoupling: An approach for timely, non-speculative branching Ieee Transactions On Computers. 64: 2182-2203
Forbes E, Choudhary NK, Dwiel BH, et al. (2014) Design-effort alloy: Boosting a highly tuned primary core with untuned alternate cores 2014 32nd Ieee International Conference On Computer Design, Iccd 2014. 408-415
Navada S, Choudhary NK, Wadhavkar SV, et al. (2013) A unified view of non-monotonic core selection and application steering in heterogeneous chip multiprocessors Parallel Architectures and Compilation Techniques - Conference Proceedings, Pact. 133-144
Priyadarshi S, Choudhary NK, Dwiel B, et al. (2013) Hetero2 3D integration: A scheme for optimizing efficiency/cost of Chip Multiprocessors Proceedings - International Symposium On Quality Electronic Design, Isqed. 1-7
Choudhary NK, Dwiel BH, Rotenberg E. (2012) A physical design study of fabscalar-generated superscalar cores 20th Ifip/Ieee International Conference On Very Large Scale Integration, Vlsi-Soc 2012 - Proceedings. 165-170
Choudhary NK, Wadhavkar SV, Shah TA, et al. (2012) FabScalar: Automating Superscalar Core Design Ieee Micro. 32: 48-59
Sheikh R, Tuck J, Rotenberg E. (2012) Control-flow decoupling Proceedings - 2012 Ieee/Acm 45th International Symposium On Microarchitecture, Micro 2012. 329-340
Dwiel BH, Choudhary NK, Rotenberg E. (2012) FPGA modeling of diverse superscalar processors Ispass 2012 - Ieee International Symposium On Performance Analysis of Systems and Software. 188-199
Navada S, Choudhary NK, Rotenberg E. (2010) Criticality-driven superscalar design space exploration Parallel Architectures and Compilation Techniques - Conference Proceedings, Pact. 261-272
See more...