Sanjay Pant, Ph.D.

Affiliations: 
2008 University of Michigan, Ann Arbor, Ann Arbor, MI 
Area:
Electronics and Electrical Engineering
Google:
"Sanjay Pant"

Parents

Sign in to add mentor
David Blaauw grad student 2008 University of Michigan
 (Design and analysis of power distribution networks in VLSI circuits.)
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Wilcox K, Cole R, Fair HR, et al. (2015) Steamroller Module and Adaptive Clocking System in 28 nm CMOS Ieee Journal of Solid-State Circuits. 50: 24-34
Kim Y, John LK, Pant S, et al. (2013) Automating stressmark generation for testing processor voltage fluctuations Ieee Micro. 33: 66-75
Zhai B, Pant S, Nazhandali L, et al. (2009) Energy-efficient subthreshold processor design Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 17: 1127-1137
Das S, Tokunaga C, Pant S, et al. (2009) RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance Ieee Journal of Solid-State Circuits. 44: 32-48
Pant S, Blaauw D. (2008) A charge-injection-based active-decoupling technique for inductive-supply-noise suppression Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 51
Pant S, Blaauw D. (2008) Circuit techniques for suppression and measurement of on-chip inductive supply noise Esscirc 2008 - Proceedings of the 34th European Solid-State Circuits Conference. 134-137
Pant S, Chiprout E, Blaauw D. (2007) Power grid physics and implications for CAD Ieee Design and Test of Computers. 24: 246-254
Pant S, Blaauw D. (2007) Timing-aware decoupling capacitance allocation in power distribution networks Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 757-762
Das S, Roberts D, Lee S, et al. (2006) A self-tuning DVS processor using delay-error detection and correction Ieee Journal of Solid-State Circuits. 41: 792-804
Pant S, Blaauw D. (2006) An active decoupling capacitance circuit for inductive noise suppression in power supply networks Ieee International Conference On Computer Design, Iccd 2006. 168-173
See more...