Daler N. Rakhmatov, Ph.D. - Publications

Affiliations: 
2002 University of Arizona, Tucson, AZ 
Area:
Electronics and Electrical Engineering, Computer Science

10 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2014 Alrimeih H, Rakhmatov DN. Fast and Flexible Hardware Support for ECC Over Multiple Standard Prime Fields Ieee Transactions On Very Large Scale Integration Systems. 22: 2661-2674. DOI: 10.1109/Tvlsi.2013.2294649  0.345
2009 Rakhmatov D. Battery voltage modeling for portable systems Acm Transactions On Design Automation of Electronic Systems. 14: 29. DOI: 10.1145/1497561.1497572  0.48
2008 Rakhmatov D. Energy Budget Approximations for Battery-Powered Systems With a Fixed Schedule of Active Intervals Ieee Transactions On Very Large Scale Integration Systems. 16: 985-998. DOI: 10.1109/Tvlsi.2008.2000725  0.53
2005 Rakhmatov DN. Battery voltage prediction for portable systems Proceedings - Ieee International Symposium On Circuits and Systems. 4098-4101. DOI: 10.1109/ISCAS.2005.1465532  0.357
2003 Rakhmatov D, Vrudhula S. Energy management for battery-powered embedded systems Acm Transactions in Embedded Computing Systems. 2: 277-324. DOI: 10.1145/860176.860179  0.615
2003 Rakhmatov D, Vrudhula S, Wallach DA. A model for battery lifetime analysis for organizing applications on a pocket computer Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 11: 1019-1030. DOI: 10.1109/Tvlsi.2003.819320  0.578
2003 Rao R, Vrudhula S, Rakhmatov DN. Battery Modeling for Energy-Aware System Design Computer. 36. DOI: 10.1109/Mc.2003.1250886  0.575
2002 Rakhmatov DN, Vrudhula SBK. Hardware-software bipartitioning for dynamically reconfigurable systems Hardware/Software Codesign - Proceedings of the International Workshop. 145-150.  0.543
2001 Rakhmatov DN, Vrudhula SBK. An analytical high-level battery model for use in energy management of portable electronic systems Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers. 488-493.  0.603
2000 Rakhmatov DN, Vrudhula SBK, Brown TJ, Nagarandal A. Adaptive multiuser online reconfigurable engine Ieee Design and Test of Computers. 17: 53-67. DOI: 10.1109/54.825677  0.472
Show low-probability matches.