Michael S. Hsiao - Publications

Affiliations: 
Virginia Polytechnic Institute and State University, Blacksburg, VA, United States 
Area:
Electronics and Electrical Engineering, Computer Science

94/500 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2016 Gent K, Hsiao MS. A control path aware metric for grading functional test vectors Lats 2016 - 17th Ieee Latin-American Test Symposium. 51-56. DOI: 10.1109/LATW.2016.7483339  0.421
2015 Gent K, Hsiao MS. Abstraction-based relation mining for functional test generation Proceedings of the Ieee Vlsi Test Symposium. 2015. DOI: 10.1109/VTS.2015.7116286  0.312
2015 Prabhu S, Acharya VV, Bagri S, Hsiao MS. A diagnosis-friendly LBIST architecture with property checking Proceedings - International Test Conference. 2015. DOI: 10.1109/TEST.2014.7035359  0.386
2015 Acharya VV, Bagri S, Hsiao MS. Branch guided functional test generation at the RTL Proceedings - 2015 20th Ieee European Test Symposium, Ets 2014. DOI: 10.1109/ETS.2015.7138737  0.324
2014 Bhunia S, Hsiao MS, Banga M, Narasimhan S. Hardware trojan attacks: Threat analysis and countermeasures Proceedings of the Ieee. 102: 1229-1247. DOI: 10.1109/JPROC.2014.2334493  0.683
2014 Liao KY, Chen PJ, Lin AF, Li JCM, Hsiao MS, Wang LT. GPU-based timing-aware test generation for small delay defects Proceedings - 2014 19th Ieee European Test Symposium, Ets 2014. DOI: 10.1109/ETS.2014.6847835  0.326
2014 Gent K, Hsiao MS. Dual-purpose mixed-level test generation using swarm intelligence Proceedings of the Asian Test Symposium. 230-235. DOI: 10.1109/ATS.2014.50  0.365
2013 Bhunia S, Abramovici M, Agrawal D, Hsiao MS, Plusquellic J, Tehranipoor M, Bradley P. Protection against hardware trojan attacks: Towards a comprehensive solution Ieee Design and Test. 30: 6-17. DOI: 10.1109/Mdt.2012.2196252  0.349
2012 Wu S, Wang L, Wen X, Jone W, Hsiao MS, Li F, Li JC, Huang J. Launch-on-Shift Test Generation for Testing Scan Designs Containing Synchronous and Asynchronous Clock Domains Acm Transactions On Design Automation of Electronic Systems. 17: 48. DOI: 10.1145/2348839.2348852  0.361
2012 Prabhu S, Hsiao MS, Lingappan L, Gangaram V. A SMT-based diagnostic test generation method for combinational circuits Proceedings of the Ieee Vlsi Test Symposium. 215-220. DOI: 10.1109/VTS.2012.6231105  0.435
2012 Shrestha G, Hsiao MS. Ensuring trust of third-party hardware design with constrained sequential equivalence checking 2012 Ieee International Conference On Technologies For Homeland Security, Hst 2012. 7-12. DOI: 10.1109/THS.2012.6459818  0.315
2012 Li M, Gent K, Hsiao MS. Design validation of RTL circuits using evolutionary swarm intelligence Proceedings - International Test Conference. DOI: 10.1109/TEST.2012.6401556  0.316
2012 Nguyen H, Hsiao MS. Sequential equivalence checking of hard instances with targeted inductive invariants and efficient filtering strategies Proceedings - Ieee International High-Level Design Validation and Test Workshop, Hldvt. 1-8. DOI: 10.1109/HLDVT.2012.6418236  0.305
2012 Li M, Hsiao MS. RAG: An efficient reliability analysis of logic circuits on graphics processing units Proceedings -Design, Automation and Test in Europe, Date. 316-319.  0.316
2011 Chandrasekar M, Hsiao MS. Fault collapsing using a novel extensibility relation Proceedings of the Ieee International Conference On Vlsi Design. 268-273. DOI: 10.1109/VLSID.2011.56  0.404
2011 Li M, Hsiao MS. 3-D parallel fault simulation with GPGPU Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 30: 1545-1555. DOI: 10.1109/TCAD.2011.2158432  0.32
2011 Banga M, Hsiao MS. ODETTE: A non-scan design-for-test methodology for Trojan detection in ICs 2011 Ieee International Symposium On Hardware-Oriented Security and Trust, Host 2011. 18-23. DOI: 10.1109/HST.2011.5954989  0.746
2011 Li M, Hsiao MS. High-performance diagnostic fault simulation on GPUs Proceedings - 16th Ieee European Test Symposium, Ets 2011. 210. DOI: 10.1109/ETS.2011.41  0.365
2011 Krishnamoorthy S, Hsiao MS, Lingappan L. Strategies for scalable symbolic execution-driven test generation for programs Science China Information Sciences. 54: 1797-1812. DOI: 10.1007/S11432-011-4368-7  0.321
2011 Banga M, Rahagude N, Hsiao MS. Design-for-test methodology for non-scan at-speed testing Proceedings -Design, Automation and Test in Europe, Date. 191-196.  0.775
2010 Wu S, Wang L, Wen X, Jiang Z, Tan L, Zhang Y, Hu Y, Jone W, Hsiao MS, Li JC, Huang J, Yu L. Using Launch-on-Capture for Testing BIST Designs Containing Synchronous and Asynchronous Clock Domains Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 29: 299-312. DOI: 10.1109/Tcad.2009.2035483  0.411
2010 Banga M, Hsiao MS. Trusted RTL: Trojan detection methodology in pre-silicon designs Proceedings of the 2010 Ieee International Symposium On Hardware-Oriented Security and Trust, Host 2010. 56-59. DOI: 10.1109/HST.2010.5513114  0.715
2010 Goel N, Hsiao MS, Ramakrishnan N, Zaki MJ. Mining complex boolean expressions for sequential equivalence checking Proceedings of the Asian Test Symposium. 442-447. DOI: 10.1109/ATS.2010.81  0.342
2010 Rahagude N, Chandrasekar M, Hsiao MS. DFT + DFD: An integrated method for design for testability and diagnosability Proceedings of the Asian Test Symposium. 218-223. DOI: 10.1109/ATS.2010.46  0.426
2010 Li M, Hsiao MS. FSimGP2: An efficient fault simulator with GPGPU Proceedings of the Asian Test Symposium. 15-20. DOI: 10.1109/ATS.2010.12  0.334
2010 Chandrasekar M, Rahagude NP, Hsiao MS. Search state compatibility based incremental learning framework and output deviation based X-filling for diagnostic test generation Journal of Electronic Testing: Theory and Applications (Jetta). 26: 165-176. DOI: 10.1007/S10836-010-5142-2  0.509
2009 Banga M, Hsiao MS. A novel sustained vector technique for the detection of hardware trojans Proceedings: 22nd International Conference On Vlsi Design - Held Jointly With 7th International Conference On Embedded Systems. 327-332. DOI: 10.1109/VLSI.Design.2009.22  0.743
2009 Donglikar S, Banga M, Chandrasekar M, Hsiao MS. Fast circuit topology based method to configure the scan chains in Illinois scan architecture Proceedings - International Test Conference. DOI: 10.1109/TEST.2009.5355661  0.747
2009 Banga M, Hsiao MS. VITAMIN: Voltage inversion technique to ascertain malicious insertions in ICs 2009 Ieee International Workshop On Hardware-Oriented Security and Trust, Host 2009. 104-107. DOI: 10.1109/HST.2009.5224960  0.729
2009 Chandrasekar M, Hsiao MS. Diagnostic test generation for Silicon Diagnosis with an incremental learning framework based on search state compatibility Proceedings - Ieee International High-Level Design Validation and Test Workshop, Hldvt. 68-75. DOI: 10.1109/HLDVT.2009.5340172  0.422
2009 Hsiao MS, Banga M. Kiss the scan goodbye: A non-scan architecture for high coverage, low test data volume and low test application time Proceedings of the Asian Test Symposium. 225-230. DOI: 10.1109/ATS.2009.17  0.737
2009 Li JCM, Hsiao MS. Fault Simulation and Test Generation Electronic Design Automation. 851-917. DOI: 10.1016/B978-0-12-374364-0.50021-7  0.382
2008 Fang L, Hsiao MS. Boosting SAT Solver Performance via a New Hybrid Approach Journal On Satisfiability, Boolean Modeling and Computation. 5: 243-261. DOI: 10.3233/Sat190058  0.325
2008 He N, Hsiao MS. A new testability guided abstraction to solving bit-vector formula Acm International Conference Proceeding Series. 39-45. DOI: 10.1145/1512464.1512473  0.537
2008 Banga M, Chandrasekar M, Lei F, Hsiao MS. Guided test generation for isolation and detection of embedded trojans in ICs Proceedings of the Acm Great Lakes Symposium On Vlsi, Glsvlsi. 363-366. DOI: 10.1145/1366110.1366196  0.74
2008 Li B, Fang L, Hsiao MS. Efficient power droop aware delay fault testing Proceedings - International Test Conference. DOI: 10.1109/TEST.2007.4437597  0.394
2008 Wu W, Hsiao MS. Mining global constraints with domain knowledge for improving bounded sequential equivalence checking Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 27: 197-201. DOI: 10.1109/Tcad.2007.907240  0.363
2008 Banga M, Hsiao MS. A region based approach for the identification of hardware Trojans 2008 Ieee International Workshop On Hardware-Oriented Security and Trust, Host. 40-47. DOI: 10.1109/HST.2008.4559047  0.743
2008 Hank Walker DM, Hsiao MS. Delay Testing System-On-Chip Test Architectures. 263-306. DOI: 10.1016/B978-012373973-5.50011-5  0.369
2008 Kim HS, Kang S, Hsiao MS. A new scan architecture for both low power testing and test volume compression under SOC test environment Journal of Electronic Testing: Theory and Applications (Jetta). 24: 365-378. DOI: 10.1007/S10836-008-5062-6  0.379
2008 Fang L, Hsiao MS. Bilateral testing of nano-scale fault-tolerant circuits Journal of Electronic Testing: Theory and Applications (Jetta). 24: 285-296. DOI: 10.1007/S10836-007-5041-3  0.505
2007 Vimjam VC, Hsiao MS. Explicit safety property strengthening in SAT-based induction Proceedings of the Ieee International Conference On Vlsi Design. 63-68. DOI: 10.1109/VLSID.2007.80  0.76
2007 Chen X, Hsiao MS. An overlapping scan architecture for reducing both test time and test power by pipelining fault detection Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 15: 404-412. DOI: 10.1109/Tvlsi.2007.893657  0.477
2007 Syal M, Chandrasekar K, Vimjam V, Hsiao MS, Chang YS, Chakravarty S. A study of implication based pseudo functional testing Proceedings - International Test Conference. DOI: 10.1109/TEST.2006.297667  0.699
2007 Wu W, Hsiao MS. Mining sequential constraints for pseudo-functional testing Proceedings of the Asian Test Symposium. 19-24. DOI: 10.1109/ATS.2007.4387977  0.411
2006 Wu W, Hsiao MS. Mining global constraints for improving bounded sequential equivalence checking Proceedings - Design Automation Conference. 743-748. DOI: 10.1145/1146909.1147098  0.304
2006 Vimjam VC, Hsiao MS. Fast illegal state identification for improving SAT-based induction Proceedings - Design Automation Conference. 241-246. DOI: 10.1145/1146909.1146972  0.779
2006 Vimjam VC, Hsiao MS. Efficient fault collapsing via generalized dominance relations Proceedings of the Ieee Vlsi Test Symposium. 2006: 258-263. DOI: 10.1109/VTS.2006.31  0.786
2006 Zhang L, Ghosh I, Hsiao MS. A framework for automatic design validation of RTL circuits using ATPG and observability-enhanced tag coverage Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 25: 2526-2537. DOI: 10.1109/Tcad.2006.881333  0.388
2006 Wu Q, Hsiao MS. State variable extraction and partitioning to reduce problem complexity for ATPG and design validation Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 25: 2263-2268. DOI: 10.1109/Tcad.2005.859512  0.413
2006 Syal M, Hsiao MS. New techniques for untestable fault identification in sequential circuits Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 25: 1117-1131. DOI: 10.1109/Tcad.2005.855967  0.746
2006 Chen X, Hsiao MS. Testing embedded sequential cores in parallel using spectrum-based BIST Ieee Transactions On Computers. 55: 150-162. DOI: 10.1109/Tc.2006.30  0.407
2006 Lei F, Hsiao MS. Bilateral testing of nano-scale fault-tolerant circuits Proceedings - Ieee International Symposium On Defect and Fault Tolerance in Vlsi Systems. 309-317. DOI: 10.1109/DFT.2006.17  0.417
2006 Hsiao MS. Test generation Vlsi Test Principles and Architectures. 161-262. DOI: 10.1016/B978-012370597-6/50008-1  0.395
2005 Liu X, Hsiao MS, Chakravarty S, Thadikaran PJ. Efficient techniques for transition testing Acm Transactions On Design Automation of Electronic Systems. 10: 258-278. DOI: 10.1145/1059876.1059880  0.393
2005 Liu X, Hsiao MS. A novel transition fault ATPG that reduces yield loss Ieee Design and Test of Computers. 22: 576-584. DOI: 10.1109/Mdt.2005.126  0.461
2005 Syal M, Arora R, Hsiao MS. Extended forward implications and dual recurrence relations to identify sequentially untestable faults Proceedings - Ieee International Conference On Computer Design: Vlsi in Computers and Processors. 2005: 453-460. DOI: 10.1109/ICCD.2005.53  0.735
2005 Vimjam VC, Hsiao MS. Increasing the deductibility in CNF instances for efficient SAT-based bounded model checking Proceedings - Ieee International High-Level Design Validation and Test Workshop, Hldvt. 2005: 184-191. DOI: 10.1109/HLDVT.2005.1568835  0.776
2005 Syal M, Hsiao MS. VERISEC: VERIfying equivalence of sequential circuits using SAT Proceedings - Ieee International High-Level Design Validation and Test Workshop, Hldvt. 2005: 52-59. DOI: 10.1109/HLDVT.2005.1568813  0.687
2005 Chandrasekar K, Hsiao MS. Integration of learning techniques into incremental satisfiability for efficient path-delay fault test generation Proceedings -Design, Automation and Test in Europe, Date '05. 1002-1007. DOI: 10.1109/DATE.2005.187  0.409
2005 Syal M, Natarajan S, Chakravarty S, Hsiao MS. Untestable multi-cycle path delay faults in industrial designs Proceedings of the Asian Test Symposium. 2005: 194-201. DOI: 10.1109/ATS.2005.111  0.703
2005 Vimjam VC, Syal M, Hsiao MS. Untestable fault identification through enhanced necessary value assignments Proceedings of the Acm Great Lakes Symposium On Vlsi, Glsvlsi. 176-181.  0.778
2004 Sheng S, Hsiao MS. Success-driven learning in ATPG for preimage computation Ieee Design and Test of Computers. 21: 504-512. DOI: 10.1109/Mdt.2004.97  0.317
2004 Liu X, Hsiao MS. On identifying functionally untestable transition faults Proceedings - Ieee International High-Level Design Validation and Test Workshop, Hldvt. 121-126. DOI: 10.1109/HLDVT.2004.1431252  0.36
2004 Gupta P, Hsiao MS. ALAPTF: A new transition fault model and the ATPG algorithm Proceedings - International Test Conference. 1053-1060.  0.34
2004 Syal M, Chakravarty S, Hsiao MS. Identifying untestable transition faults in latch based designs with multiple clocks Proceedings - International Test Conference. 1034-1043.  0.68
2004 Syal M, Hsiao MS. Untestable fault identification using recurrence relations and impossible value assignments Proceedings of the Ieee International Conference On Vlsi Design. 17: 481-486.  0.728
2004 Arora R, Hsiao MS. Enhancing SAT-based bounded model checking using sequential logic implications Proceedings of the Ieee International Conference On Vlsi Design. 17: 784-787.  0.352
2004 Arora R, Hsiao MS. Using global structural relationships of signals to accelerate SAT-based combinational equivalence checking Journal of Universal Computer Science. 10: 1597-1628.  0.328
2003 Syal M, Hsiao MS, Doreswamy KB, Chakravarty S. Efficient implication-based untestable bridge fault identifier Proceedings of the Ieee Vlsi Test Symposium. 2003: 393-398. DOI: 10.1109/VTEST.2003.1197680  0.721
2003 Chen X, Hsiao MS. Energy-efficient logic BIST based on state correlation analysis Proceedings of the Ieee Vlsi Test Symposium. 2003: 267-272. DOI: 10.1109/VTEST.2003.1197662  0.355
2003 Liu X, Hsiao MS. Constrained ATPG for broadside transition testing Proceedings - Ieee International Symposium On Defect and Fault Tolerance in Vlsi Systems. 2003: 175-182. DOI: 10.1109/TSM.2005.1250110  0.348
2003 Syal M, Hsiao MS. A novel, low-cost algorithm for sequentially untestable fault identification Proceedings -Design, Automation and Test in Europe, Date. 316-321. DOI: 10.1109/DATE.2003.1253626  0.716
2003 Liu X, Hsiao MS, Chakravarty S, Thadikaran PJ. Efficient transition fault ATPG algorithms based on stuck-at test vectors Journal of Electronic Testing: Theory and Applications (Jetta). 19: 437-445. DOI: 10.1023/A:1024696110831  0.452
2003 Gupta P, Hsiao MS. High Quality ATPG for Delay Defects Ieee International Test Conference (Tc). 584-591.  0.377
2003 Wu Q, Hsiao MS. Efficient Sequential ATPG Based on Partitioned Finite-State-Machine Traversal Ieee International Test Conference (Tc). 281-289.  0.346
2002 Chen X, Hsiao MS. Characteristic faults and spectral information for logic BIST Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers. 294-298. DOI: 10.1145/774572.774616  0.396
2002 Sheng S, Hsiao MS. Efficient sequential test generation based on logic simulation Ieee Design and Test of Computers. 19: 56-64. DOI: 10.1109/Mdt.2002.1033793  0.471
2002 Liu X, Hsiao MS, Chakravarty S, Thadikaran PJ. Novel ATPG algorithms for transition faults Proceedings of the European Test Workshop. 2002: 47-52. DOI: 10.1109/ETW.2002.1029638  0.347
2002 Hsiao MS. Maximizing impossibilities for untestable fault identification Proceedings -Design, Automation and Test in Europe, Date. 949-953. DOI: 10.1109/DATE.2002.998414  0.428
2002 Hsiao MS. Genetic spot optimization for peak power estimation in large VLSI circuits Vlsi Design. 15: 407-416. DOI: 10.1080/1065514021000012020  0.372
2002 Giani A, Sheng S, Hsiao MS, Agrawal VD. State and fault information for compaction-based test generation Journal of Electronic Testing: Theory and Applications (Jetta). 18: 63-72. DOI: 10.1023/A:1013780023643  0.524
2002 Sheng S, Takayama K, Hsiao MS. Effective safety property checking using simulation-based sequential ATPG Proceedings - Design Automation Conference. 813-818.  0.301
2001 Giani A, Sheng S, Hsiao MS, Agrawal VD. Efficient spectral techniques for sequential ATPG Proceedings -Design, Automation and Test in Europe, Date. 204-208. DOI: 10.1109/DATE.2001.915025  0.344
2001 D'Souza AL, Hsiao MS. Error diagnosis of sequential circuits using region-based model Proceedings of the Ieee International Conference On Vlsi Design. 103-108. DOI: 10.1007/S10836-005-6141-6  0.34
2001 Giani A, Sheng S, Hsiao MS, Agrawal VD. Novel spectral methods for built-in self-test in a system-on-a-chip environment Proceedings of the Ieee Vlsi Test Symposium. 163-168.  0.312
2000 Hsiao MS, Rudnick EM, Patel JH. Dynamic state traversal for sequential circuit test generation Acm Transactions On Design Automation of Electronic Systems. 5: 548-565. DOI: 10.1145/348019.348288  0.461
2000 Hsiao MS, Rudnick EM, Patel JH. Peak power estimation of VLSI circuits: New peak power measures Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 8: 435-439. DOI: 10.1109/92.863624  0.331
2000 Hsiao MS, Chakradhar S. Test set compaction using relaxed subsequence removal Journal of Electronic Testing: Theory and Applications (Jetta). 16: 319-327. DOI: 10.1023/A:1008361817867  0.465
2000 Hsiao MS, Chakradhar S. Test set and fault partitioning techniques for static test sequence compaction for sequential circuits Journal of Electronic Testing: Theory and Applications (Jetta). 16: 329-338. DOI: 10.1023/A:1008313901938  0.489
1999 Hsiao MS, Rudnick EM, Patel JH. Fast static compaction algorithms for sequential circuit test vectors Ieee Transactions On Computers. 48: 311-322. DOI: 10.1109/12.754997  0.473
1999 Hsiao MS. On non-statistical techniques for fast fault coverage estimation Journal of Electronic Testing: Theory and Applications (Jetta). 15: 239-254. DOI: 10.1023/A:1008332723359  0.469
1998 Hsiao MS, Chakradhary ST. State relaxation based subsequence removal for fast static compaction in sequential circuits Proceedings -Design, Automation and Test in Europe, Date. 577-582. DOI: 10.1109/DATE.1998.655916  0.391
1998 Hsiao MS, Rudnick EM, Patel JH. Application of genetically engineered finite-statemachine sequences to sequential circuit ATPG Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 17: 239-254. DOI: 10.1109/43.700722  0.459
Low-probability matches (unlikely to be authored by this person)
2001 Arnold M, Hsiao M, Kremer U, Ryder BG. Exploring the interaction between Java's implicitly thrown exceptions and instruction scheduling International Journal of Parallel Programming. 29: 111-137. DOI: 10.1023/A:1007621602134  0.296
2011 Hu W, Nguyen H, Hsiao MS. Sufficiency-based filtering of invariants for Sequential Equivalence Checking Proceedings - Ieee International High-Level Design Validation and Test Workshop, Hldvt. 1-8. DOI: 10.1109/HLDVT.2011.6114159  0.296
2006 Chandrasekar K, Hsiao MS. Implicit search-space aware cofactor expansion: A novel preimage computation technique Ieee International Conference On Computer Design, Iccd 2006. 280-285. DOI: 10.1109/ICCD.2006.4380829  0.295
2005 Lajaunie RP, Hsiao MS. An effective and efficient ATPG-based combinational equivalence checker Proceedings of the Acm Great Lakes Symposium On Vlsi, Glsvlsi. 248-253.  0.295
2005 Zhang L, Prasad MR, Hsiao MS. Interleaved invariant checking with dynamic abstraction Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). 3725: 81-96. DOI: 10.1007/11560548_9  0.294
2013 Desai AR, Ganta D, Hsiao MS, Nazhandali L, Wang C, Hall S. Anti-counterfeit Integrated Circuits using fuse and tamper-resistant time-stamp circuitry 2013 Ieee International Conference On Technologies For Homeland Security, Hst 2013. 480-485. DOI: 10.1109/THS.2013.6699051  0.293
2014 Prabhu S, Acharya VV, Bagri S, Hsiao MS. Property-checking based LBIST for improved diagnosability Proceedings - 2014 19th Ieee European Test Symposium, Ets 2014. DOI: 10.1109/ETS.2014.6847828  0.289
2012 Short NJ, Lynn Abbott A, Hsiao MS, Fox EA. Reducing descriptor measurement error through Bayesian estimation of fingerprint minutia location and direction Iet Biometrics. 1: 82-90. DOI: 10.1049/Iet-Bmt.2011.0010  0.286
2011 Short NJ, Abbott AL, Hsiao MS, Fox EA. A Bayesian approach to fingerprint minutia localization and quality assessment using adaptable templates 2011 International Joint Conference On Biometrics, Ijcb 2011. DOI: 10.1109/Ijcb.2011.6117489  0.285
2001 D'Souza AL, Hsiao MS. On quality of test sets: Relating fault coverage to defect coverage Autotestcon (Proceedings). 816-825.  0.284
2013 Bakshi D, Hsiao MS. LFSR seed computation and reduction using SMT-based fault-chaining Proceedings -Design, Automation and Test in Europe, Date. 1071-1076.  0.284
2007 Chen X, Hsiao MS. Characteristic states and cooperative game based search for efficient sequential ATPG and design validation Proceedings - International Test Conference. DOI: 10.1109/TEST.2006.297666  0.284
2004 Wu Q, Hsiao MS. State variable extraction to reduce problem complexity for ATPG and design validation Proceedings - International Test Conference. 820-829.  0.279
2008 Zheng Y, Hsiao MS, Huang C. SAT-based equivalence checking of threshold logic designs for nanotechnologies Proceedings of the Acm Great Lakes Symposium On Vlsi, Glsvlsi. 225-230. DOI: 10.1145/1366110.1366167  0.279
2000 Seshadri S, Hsiao MS. Formal value-range and variable testability techniques for high-level design-for-testability Journal of Electronic Testing: Theory and Applications (Jetta). 16: 131-145.  0.278
2013 Desai AR, Hsiao MS, Wang C, Nazhandali L, Hall S. Interlocking obfuscation for anti-tamper hardware Acm International Conference Proceeding Series. DOI: 10.1145/2459976.2459985  0.275
2002 Seshadri S, Hsiao MS. Behavioral-level DFT via formal operator testability measures Journal of Electronic Testing: Theory and Applications (Jetta). 18: 595-611. DOI: 10.1023/A:1020849006472  0.273
2011 Chandrasekar M, Hsiao MS. A novel learning framework for state space exploration based on search state extensibility relation Proceedings of the Ieee International Conference On Vlsi Design. 64-69. DOI: 10.1109/VLSID.2011.57  0.272
2003 Chandrasekar K, Hsiao MS. ATPG-based preimage computation: Efficient search space pruning with ZBDD Proceedings - Ieee International High-Level Design Validation and Test Workshop, Hldvt. 2003: 117-122. DOI: 10.1109/HLDVT.2003.1252484  0.271
2003 Arora R, Hsiao MS. Enhancing SAT-based equivalence checking with static logic implications Proceedings - Ieee International High-Level Design Validation and Test Workshop, Hldvt. 2003: 63-68. DOI: 10.1109/HLDVT.2003.1252476  0.27
2008 Parikh A, Hsiao MS. On dynamic switching of navigation for semi-formal design validation Proceedings - Ieee International High-Level Design Validation and Test Workshop, Hldvt. 41-48. DOI: 10.1109/HLDVT.2008.4695873  0.268
2004 Zhang L, Prasad MR, Hsiao MS. Incremental deductive & inductive reasoning for SAT-based Bounded Model Checking Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 502-509.  0.267
2001 Sharma S, Hsiao MS. Combination of structural and state analysis for partial scan Proceedings of the Ieee International Conference On Vlsi Design. 134-139.  0.266
2008 Parikh A, Wu W, Hsiao MS. Mining-guided state justification with partitioned navigation tracks Proceedings - International Test Conference. DOI: 10.1109/TEST.2007.4437588  0.263
1997 Krishnaswamy D, Hsiao MS, Saxena V, Rudnick EM, Patel JH, Banerjee P. Parallel genetic algorithms for simulation-based sequential circuit test generation Proceedings of the Ieee International Conference On Vlsi Design. 475-481.  0.263
2002 Kasturirangan G, Hsiao MS. Spectrum-based BIST in complex SOCs Proceedings of the Ieee Vlsi Test Symposium. 2002: 111-116. DOI: 10.1109/VTS.2002.1011120  0.262
2013 Elbayoumi M, Hsiao MS, Elnainay M. Selecting critical implications with set-covering formulation for SAT-based Bounded Model Checking 2013 Ieee 31st International Conference On Computer Design, Iccd 2013. 390-395. DOI: 10.1109/ICCD.2013.6657070  0.262
2004 Li B, Hsiao MS, Sheng S. A novel SAT all-solutions solver for efficient preimage computation Proceedings - Design, Automation and Test in Europe Conference and Exhibition. 1: 272-277.  0.258
2005 Wu Q, Hsiao MS. A new simulation-based property checking algorithm based on partitioned alternative search space traversal Proceedings - Ieee International High-Level Design Validation and Test Workshop, Hldvt. 2005: 121-126. DOI: 10.1109/HLDVT.2005.1568825  0.252
2013 Gent K, Hsiao MS. Functional test generation at the RTL using swarm intelligence and bounded model checking Proceedings of the Asian Test Symposium. 233-238. DOI: 10.1109/ATS.2013.51  0.251
2015 Bagri S, Gent K, Hsiao MS. Signal domain based reachability analysis in RTL circuits Proceedings - International Symposium On Quality Electronic Design, Isqed. 2015: 250-256. DOI: 10.1109/ISQED.2015.7085434  0.248
2011 Prabhakar S, Sethuram R, Hsiao MS. Trace buffer-based silicon debug with lossless compression Proceedings of the Ieee International Conference On Vlsi Design. 358-363. DOI: 10.1109/VLSID.2011.31  0.248
2004 Chandrasekar K, Hsiao MS. Decision selection and learning for an 'all-solutions ATPG engine' Proceedings - International Test Conference. 607-616.  0.243
2005 Chandrasekar K, Hsiao MS. State set management for SAT-based unbounded model checking Proceedings - Ieee International Conference On Computer Design: Vlsi in Computers and Processors. 2005: 585-590. DOI: 10.1109/ICCD.2005.99  0.242
2008 Wu W, Hsiao MS. Efficient design validation based on cultural algorithms Proceedings -Design, Automation and Test in Europe, Date. 402-407. DOI: 10.1109/DATE.2008.4484714  0.241
2013 Elbayoumi M, Hsiao MS, ElNainay M. A novel concurrent cache-friendly binary decision diagram construction for multi-core platforms Proceedings -Design, Automation and Test in Europe, Date. 1427-1430.  0.238
2010 Prabhakar S, Hsiao MS. Multiplexed trace signal selection using non-trivial implication-based correlation Proceedings of the 11th International Symposium On Quality Electronic Design, Isqed 2010. 697-704. DOI: 10.1109/ISQED.2010.5450503  0.238
2012 Prabhu S, Hsiao MS, Lingappan L, Gangaram V. A novel SMT-based technique for LFSR reseeding Proceedings of the Ieee International Conference On Vlsi Design. 394-399. DOI: 10.1109/VLSID.2012.103  0.237
2016 Marcellino BA, Hsiao MS. Dynamic partitioning strategy to enhance symbolic execution Proceedings of the 2016 Design, Automation and Test in Europe Conference and Exhibition, Date 2016. 774-779.  0.235
2011 Li M, Gent K, Hsiao MS. Utilizing GPGPUs for design validation with a modified Ant Colony Optimization Proceedings - Ieee International High-Level Design Validation and Test Workshop, Hldvt. 128-135. DOI: 10.1109/HLDVT.2011.6113988  0.233
2009 Li M, Hsiao MS. An ant colony optimization technique for abstraction-guided state justification Proceedings - International Test Conference. DOI: 10.1109/TEST.2009.5355676  0.232
2001 Sridhar N, Hsiao MS. On efficient error diagnosis of digital circuits Ieee International Test Conference (Tc). 678-687.  0.231
2013 Elbayoumi M, Hsiao MS, ElNainay M. Set-cover-based critical implications selection to improve SAT-based bounded model checking [extended abstract] Proceedings of the Acm Great Lakes Symposium On Vlsi, Glsvlsi. 331-332. DOI: 10.1145/2483028.2483128  0.23
2008 Wu W, Hsiao MS. SAT-based state justification with adaptive mining of invariants Proceedings - International Test Conference. DOI: 10.1109/TEST.2008.4700567  0.23
2015 Elbayoumi M, Hsiao MS, ElNainay M. Novel SAT-based invariant-directed low-power synthesis Proceedings - International Symposium On Quality Electronic Design, Isqed. 2015: 217-222. DOI: 10.1109/ISQED.2015.7085428  0.226
2010 Li M, Zheng Y, Hsiao MS, Huang C. Reversible logic synthesis through ant colony optimization Proceedings -Design, Automation and Test in Europe, Date. 307-310.  0.224
2004 Wu Q, Hsiao MS. Efficient ATPG for design validation based on partitioned state exploration histories Proceedings of the Ieee Vlsi Test Symposium. 389-394.  0.221
2004 Arora R, Hsiao MS. CNF formula simplification using implication reasoning Proceedings - Ieee International High-Level Design Validation and Test Workshop, Hldvt. 129-130. DOI: 10.1109/HLDVT.2004.1431255  0.217
2005 Chandrasekar K, Hsiao MS. Forward image computation with backtracing ATPG and incremental state-set construction Proceedings of the Acm Great Lakes Symposium On Vlsi, Glsvlsi. 254-259.  0.214
2001 D'Souza AL, Hsiao MS. Error diagnosis of sequential circuits using region-based model Proceedings of the Ieee International Conference On Vlsi Design. 103-108. DOI: 10.1007/s10836-005-6141-6  0.211
2006 Xueqi C, Hsiao MS. Simulation-based internal variable range coverage metric and test generation model Proceedings of the 10th Iasted International Conference On Software Engineering and Applications, Sea 2006. 352-357.  0.211
2001 Potlapally NR, Raghunathan A, Lakshminarayana G, Hsiao MS, Chakradhar ST. Accurate power macro-modeling techniques for complex RTL circuits Proceedings of the Ieee International Conference On Vlsi Design. 235-241.  0.207
1999 Hsiao MS. Peak power estimation using genetic spot optimization for large VLSI circuits Proceedings -Design, Automation and Test in Europe, Date. 175-179. DOI: 10.1109/DATE.1999.761115  0.207
2008 Cheng X, Hsiao MS. Simulation-directed invariant mining for software verification Proceedings -Design, Automation and Test in Europe, Date. 682-687. DOI: 10.1109/DATE.2008.4484757  0.204
2006 He N, Hsiao MS. Using symbolic simulation and weakening abstraction for formal verification of embedded software Proceedings of the 10th Iasted International Conference On Software Engineering and Applications, Sea 2006. 334-339.  0.201
2004 Prasad MR, Hsiao MS, Jain J. Can SAT be used to improve sequential ATPG methods ? Proceedings of the Ieee International Conference On Vlsi Design. 17: 585-590.  0.199
2005 Chandrasekar K, Hsiao MS. Q-PREZ: QBF evaluation using partition, resolution and elimination with ZBDDs Proceedings of the Ieee International Conference On Vlsi Design. 189-194.  0.195
2005 Yardi S, Channakeshava K, Hsiao MS, Martin TL, Ha DS. A formal framework for modeling and analysis of system-level dynamic power management Proceedings - Ieee International Conference On Computer Design: Vlsi in Computers and Processors. 2005: 119-126. DOI: 10.1109/ICCD.2005.9  0.195
2010 Krishnamoorthy S, Hsiao MS, Lingappan L. Tackling the path explosion problem in symbolic execution-driven test generation for programs Proceedings of the Asian Test Symposium. 59-64. DOI: 10.1109/ATS.2010.19  0.194
2007 Cheng X, He N, Hsiao MS. Hybrid testing and verification techniques for a cognitive radio system Proceedings of the 11th Iasted International Conference On Software Engineering and Applications, Sea 2007. 240-245.  0.188
2001 Stanley-Marbell P, Hsiao MS. Fast, flexible, cycle-accurate energy estimation Proceedings of the International Symposium On Low Power Electronics and Design, Digest of Technical Papers. 141-146.  0.187
2021 Chen CL, Chen CC, Yu WH, Chen SH, Chang YC, Hsu TI, Hsiao M, Yeh CY, Chen CY. An annotation-free whole-slide training approach to pathological classification of lung cancer types using deep learning. Nature Communications. 12: 1193. PMID 33608558 DOI: 10.1038/s41467-021-21467-y  0.182
2012 Chandrasekar K, Misra SK, Sengupta S, Hsiao MS. A scan pattern debugger for partial scan industrial designs Proceedings -Design, Automation and Test in Europe, Date. 558-561.  0.18
2008 He N, Cheng X, Hsiao MS. A new hybrid static/run-time secure memory access protection 2008 Ieee International Conference On Technologies For Homeland Security, Hst'08. 603-608. DOI: 10.1109/THS.2008.4534522  0.179
2000 Gulrajani K, Hsiao MS. Multi-node static logic implications for redundancy identification Proceedings -Design, Automation and Test in Europe, Date. 729-733. DOI: 10.1109/DATE.2000.840868  0.177
2007 He N, Hsiao MS. Bounded model checking of embedded software in wireless cognitive radio systems 2007 Ieee International Conference On Computer Design, Iccd 2007. 19-24. DOI: 10.1109/ICCD.2007.4601875  0.175
2007 Fang L, Hsiao MS. A new hybrid solution to boost SAT solver performance Proceedings -Design, Automation and Test in Europe, Date. 1307-1312. DOI: 10.1109/DATE.2007.364478  0.17
2005 Zhang L, Prasad MR, Hsiao MS, Sidle T. Dynamic abstraction using SAT-based BMC Proceedings - Design Automation Conference. 754-757.  0.169
2009 He N, Hsiao MS. An efficient path-oriented bitvector encoding width computation algorithm for bit-precise verification Proceedings -Design, Automation and Test in Europe, Date. 1602-1607.  0.169
2002 Zhao Y, Hsiao MS. Reducing power consumption by utilizing retransmission in short range wireless network Proceedings - Conference On Local Computer Networks, Lcn. 2002: 527-533. DOI: 10.1109/LCN.2002.1181826  0.168
2007 Yardi SM, Hsiao MS. Integrating validation and verification in the digital design curriculum Proceedings - Mse 2007: 2007 Ieee International Conference On Microelectronic Systems Education: Educating Systems Designers For the Global Economy and a Secure World. 143-144. DOI: 10.1109/MSE.2007.53  0.167
2005 Cheng X, Hsiao MS. Region-level approximate computation reuse for power reduction in multimedia applications Proceedings of the International Symposium On Low Power Electronics and Design. 119-122.  0.167
2000 Seshadri S, Hsiao MS. Formal operator testability methods for behavioral-level DFT using value ranges Proceedings - Ieee International High-Level Design Validation and Test Workshop, Hldvt. 2000: 105-111. DOI: 10.1109/HLDVT.2000.889569  0.167
2008 Xueqi C, Hsiao MS. Ant colony optimization directed program abstraction for software bounded model checking 26th Ieee International Conference On Computer Design 2008, Iccd. 46-51. DOI: 10.1109/ICCD.2008.4751839  0.165
2003 Stanley-Marbell P, Hsiao MS, Kremer U. A hardware architecture for dynamic performance and energy adaptation Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). 2325: 33-52.  0.156
2013 Prabhu S, Hsiao MS, Lingappan L, Gangaram V. Test generation for circuits with embedded memories using SMT Proceedings - 2013 18th Ieee European Test Symposium, Ets 2013. DOI: 10.1109/ETS.2013.6569390  0.152
2015 Munagani I, Hsiao MS, Abbott AL. On the uniqueness of fingerprints via mining of statistically rare features 2015 Ieee International Symposium On Technologies For Homeland Security, Hst 2015. DOI: 10.1109/THS.2015.7225286  0.144
2015 Puri P, Hsiao MS. Fast stimuli generation for design validation of RTL circuits using binary particle swarm optimization Proceedings of Ieee Computer Society Annual Symposium On Vlsi, Isvlsi. 7: 573-578. DOI: 10.1109/ISVLSI.2015.26  0.14
2009 Bian K, Park JM, Hsiao MS, Bélanger F, Hiller J. Evaluation of online resources in assisting phishing detection Proceedings - 2009 9th Annual International Symposium On Applications and the Internet, Saint 2009. 30-36. DOI: 10.1109/SAINT.2009.14  0.138
2005 Nash DC, Martin TL, Ha DS, Hsiao MS. Towards an intrusion detection system for battery exhaustion attacks on mobile computing devices Third Ieee International Conference On Pervasive Computing and Communications Workshops, Percom 2005 Workshops. 2005: 141-145. DOI: 10.1109/PERCOMW.2005.86  0.137
2008 Cheng X, He N, Hsiao MS. A new security sensitivity measurement for software variables 2008 Ieee International Conference On Technologies For Homeland Security, Hst'08. 593-598. DOI: 10.1109/THS.2008.4534520  0.134
2005 Yardi SM, Hsiao MS, Martin TL, Ha DS. Quality-driven proactive computation elimination for power-aware multimedia processing Proceedings -Design, Automation and Test in Europe, Date '05. 340-345. DOI: 10.1109/DATE.2005.248  0.133
2008 Yardi S, Hsiao MS. Quantifying the energy efficiency of coordinated micro-architectural adaptation for multimedia workloads 26th Ieee International Conference On Computer Design 2008, Iccd. 583-590. DOI: 10.1109/ICCD.2008.4751920  0.132
2008 Fang L, Hsiao MS. A fast approximation algorithm for MIN-ONE SAT Proceedings -Design, Automation and Test in Europe, Date. 1087-1090. DOI: 10.1109/DATE.2008.4484921  0.132
2008 Hsiao MS, Jones RB. Introduction to special section on high-level design, validation, and test Acm Transactions On Design Automation of Electronic Systems. 13. DOI: 10.1145/1297666.1297668  0.124
2024 Thirumurugan S, Ramanathan S, Muthiah KS, Lin YC, Hsiao M, Dhawan U, Wang AN, Liu WC, Liu X, Liao MY, Chung RJ. Inorganic nanoparticles for photothermal treatment of cancer. Journal of Materials Chemistry. B. PMID 38494982 DOI: 10.1039/d3tb02797j  0.12
2024 Lin YC, Wuputra K, Kato K, Ku CC, Saito S, Noguchi M, Nakamura Y, Hsiao M, Lin CS, Wu DC, Kawaguchi A, Yu HS, Yokoyama KK. Di-n-butyl phthalate promotes the neural differentiation of mouse embryonic stem cells through neurogenic differentiation 1. Environmental Pollution (Barking, Essex : 1987). 123722. PMID 38460589 DOI: 10.1016/j.envpol.2024.123722  0.12
2015 Chen SC, She HC, Hsiao MS. Using eye-tracking to investigate the different 3D representation on students' mental model construction Proceedings - Ieee 15th International Conference On Advanced Learning Technologies: Advanced Technologies For Supporting Open Access to Formal and Informal Learning, Icalt 2015. 388-390. DOI: 10.1109/ICALT.2015.150  0.117
2021 Chung SY, Hung YP, Pan YR, Chang YC, Wu CE, Hsu DS, Chang PM, Lu ML, Huang CF, Su Y, Hsiao M, Yeh CN, Chen MH. Ruxolitinib Combined with Gemcitabine against Cholangiocarcinoma Growth via the JAK2/STAT1/3/ALDH1A3 Pathway. Biomedicines. 9. PMID 34440089 DOI: 10.3390/biomedicines9080885  0.109
2021 Chi LH, Wu ATH, Hsiao M, Li YJ. A Transcriptomic Analysis of Head and Neck Squamous Cell Carcinomas for Prognostic Indications. Journal of Personalized Medicine. 11. PMID 34442426 DOI: 10.3390/jpm11080782  0.107
2011 Ahmed R, Hsiao MS, Matsuura Y, Houbenov N, Faul CFJ, Manners I. Redox-active mesomorphic complexes from the ionic self-assembly of cationic polyferrocenylsilane polyelectrolytes and anionic surfactants Soft Matter. 7: 10462-10471. DOI: 10.1039/C1Sm06374J  0.103
2013 Wang CH, Ma N, Lin YT, Wu CC, Wu HJ, Yu CC, Hsiao M, Lu FL, Schuyler SC, Lu J. Array-based high-throughput screening in mouse embryonic stem cells with shRNAs. Current Protocols in Stem Cell Biology. 26: Unit 5C.3.. PMID 24510793 DOI: 10.1002/9780470151808.sc05c03s26  0.102
2020 Chang YC, Chen MH, Yeh CN, Hsiao M. Omics-Based Platforms: Current Status and Potential Use for Cholangiocarcinoma. Biomolecules. 10. PMID 32998289 DOI: 10.3390/biom10101377  0.102
2015 Chen SC, Hsiao MS, She HC. The effects of static versus dynamic 3D representations on 10th grade students' atomic orbital mental model construction: Evidence from eye movement behaviors Computers in Human Behavior. 53: 169-180. DOI: 10.1016/j.chb.2015.07.003  0.093
2022 Chang YC, Li CH, Chan MH, Chen MH, Yeh CN, Hsiao M. Regorafenib inhibits epithelial-mesenchymal transition and suppresses cholangiocarcinoma metastasis via YAP1-AREG axis. Cell Death & Disease. 13: 391. PMID 35449153 DOI: 10.1038/s41419-022-04816-7  0.091
2013 Bélanger F, Crossler RE, Hiller JS, Park JM, Hsiao MS. POCKET: A tool for protecting children's privacy online Decision Support Systems. 54: 1161-1173. DOI: 10.1016/j.dss.2012.11.010  0.091
2017 Yeh CN, Chang YC, Su Y, Shin-Shian Hsu D, Cheng CT, Wu RC, Chung YH, Chiang KC, Yeh TS, Lu ML, Liu CY, Mu-Hsin Chang P, Chen MH, Huang CF, Hsiao M, et al. Identification of MALT1 as both a prognostic factor and a potential therapeutic target of regorafenib in cholangiocarcinoma patients. Oncotarget. 8: 113444-113459. PMID 29371921 DOI: 10.18632/Oncotarget.23049  0.09
2009 Jagadeesan H, Hsiao MS. A novel approach to design of user re-authentication systems Ieee 3rd International Conference On Biometrics: Theory, Applications and Systems, Btas 2009. DOI: 10.1109/BTAS.2009.5339075  0.087
2021 Pai S, Yadav VK, Kuo KT, Pikatan NW, Lin CS, Chien MH, Lee WH, Hsiao M, Chiu SC, Yeh CT, Tsai JT. PDK1 Inhibitor BX795 Improves Cisplatin and Radio-Efficacy in Oral Squamous Cell Carcinoma by Downregulating the PDK1/CD47/Akt-Mediated Glycolysis Signaling Pathway. International Journal of Molecular Sciences. 22. PMID 34768921 DOI: 10.3390/ijms222111492  0.085
2021 Chen TH, Chen YJ, Huang TS, Hsiao M, Lin CC, Liu YP. Does positive feeling lead to more impulsiveness? - Implication of previous rewarded experience on location-dependent motoric impulsivity. The Chinese Journal of Physiology. 64: 218-224. PMID 34708713 DOI: 10.4103/cjp.cjp_63_21  0.084
2015 Chang TC, Yeh CT, Adebayo BO, Lin YC, Deng L, Rao YK, Huang CC, Lee WH, Wu AT, Hsiao M, Wu CH, Wang LS, Tzeng YM. 4-Acetylantroquinonol B inhibits colorectal cancer tumorigenesis and suppresses cancer stem-like phenotype. Toxicology and Applied Pharmacology. PMID 26235807 DOI: 10.1016/j.taap.2015.07.025  0.083
2020 Lai PL, Chen TC, Feng CY, Lin H, Ng CH, Chen Y, Hsiao M, Lu J, Huang HC. Selection of a malignant subpopulation from a colorectal cancer cell line. Oncology Letters. 20: 2937-2945. PMID 32782610 DOI: 10.3892/ol.2020.11829  0.082
2021 Chang YC, Chan MH, Li CH, Fang CY, Hsiao M, Chen CL. Exosomal Components and Modulators in Colorectal Cancer: Novel Diagnosis and Prognosis Biomarkers. Biomedicines. 9. PMID 34440135 DOI: 10.3390/biomedicines9080931  0.081
2023 Li CH, Chan MH, Chang YC, Hsiao M. Gold Nanoparticles as a Biosensor for Cancer Biomarker Determination. Molecules (Basel, Switzerland). 28. PMID 36615558 DOI: 10.3390/molecules28010364  0.078
2020 Chan MH, Huang WT, Wang J, Liu RS, Hsiao M. Next-Generation Cancer-Specific Hybrid Theranostic Nanomaterials: MAGE-A3 NIR Persistent Luminescence Nanoparticles Conjugated to Afatinib for In Situ Suppression of Lung Adenocarcinoma Growth and Metastasis. Advanced Science (Weinheim, Baden-Wurttemberg, Germany). 7: 1903741. PMID 32382487 DOI: 10.1002/advs.201903741  0.078
2021 Chang YC, Chan MH, Li CH, Yang CJ, Tseng YW, Tsai HF, Chiou J, Hsiao M. Metabolic protein phosphoglycerate kinase 1 confers lung cancer migration by directly binding HIV Tat specific factor 1. Cell Death Discovery. 7: 135. PMID 34091600 DOI: 10.1038/s41420-021-00520-1  0.077
2023 Ngo LT, Huang WT, Chan MH, Su TY, Li CH, Hsiao M, Liu RS. Comprehensive Neurotoxicity of Lead Halide Perovskite Nanocrystals in Nematode Caenorhabditis elegans. Small (Weinheim An Der Bergstrasse, Germany). e2306020. PMID 37661358 DOI: 10.1002/smll.202306020  0.077
2013 Liu CH, Chen TC, Chau GY, Jan YH, Chen CH, Hsu CN, Lin KT, Juang YL, Lu PJ, Cheng HC, Chen MH, Chang CF, Ting YS, Kao CY, Hsiao M, et al. Analysis of protein-protein interactions in cross-talk pathways reveals CRKL protein as a novel prognostic marker in hepatocellular carcinoma. Molecular & Cellular Proteomics : McP. 12: 1335-49. PMID 23397142 DOI: 10.1074/mcp.O112.020404  0.077
2021 Chang ZX, Li CH, Chang YC, Huang CF, Chan MH, Hsiao M. Novel monodisperse FePt nanocomposites for T2-weighted magnetic resonance imaging: biomedical theranostics applications. Nanoscale Advances. 4: 377-386. PMID 36132698 DOI: 10.1039/d1na00613d  0.076
2017 Chan YC, Hsiao M. Protease-activated nanomaterials for targeted cancer theranostics. Nanomedicine (London, England). PMID 28814163 DOI: 10.2217/nnm-2017-0068  0.075
2016 Hsiao MS, Kusnezov N, Sieg RN, Owens BD, Herzog JP. Use of an Irrigation Pump System in Arthroscopic Procedures. Orthopedics. 1-5. PMID 27135450 DOI: 10.3928/01477447-20160427-01  0.074
2015 Hsiao MS, Cameron KL, Tucker CJ, Benigni M, Blaine TA, Owens BD. Shoulder impingement in the United States military. Journal of Shoulder and Elbow Surgery / American Shoulder and Elbow Surgeons ... [Et Al.]. PMID 25865088 DOI: 10.1016/j.jse.2015.02.021  0.073
2019 Chuang HH, Wang PH, Niu SW, Zhen YY, Huang MS, Hsiao M, Yang CJ. Inhibition of FAK Signaling Elicits Lamin A/C-Associated Nuclear Deformity and Cellular Senescence. Frontiers in Oncology. 9: 22. PMID 30761269 DOI: 10.3389/fonc.2019.00022  0.073
2016 Chen CW, Chan YC, Hsiao M, Liu RS. Plasmon-Enhanced Photodynamic Cancer Therapy by Upconversion Nanoparticles Conjugated with Au Nanorods. Acs Applied Materials & Interfaces. 8: 32108-32119. PMID 27933825 DOI: 10.1021/acsami.6b07770  0.073
2017 Chan MH, Pan YT, Lee IJ, Chen CW, Chan YC, Hsiao M, Wang F, Sun L, Chen X, Liu RS. Minimizing the Heat Effect of Photodynamic Therapy Based on Inorganic Nanocomposites Mediated by 808 nm Near-Infrared Light. Small (Weinheim An Der Bergstrasse, Germany). PMID 28464498 DOI: 10.1002/smll.201700038  0.073
2021 Wu ATH, Huang HS, Wen YT, Lawal B, Mokgautsi N, Huynh TT, Hsiao M, Wei L. A Preclinical Investigation of GBM-N019 as a Potential Inhibitor of Glioblastoma via Exosomal mTOR/CDK6/STAT3 Signaling. Cells. 10. PMID 34572040 DOI: 10.3390/cells10092391  0.073
2024 Wu CJ, Pan KF, Chen JQ, Tao Y-, Liu YC, Chen BR, Hsu C, Wang MY, Sheu BC, Hsiao M, Hua KT, Wei LH. Loss of LECT2 promotes ovarian cancer progression by inducing cancer invasiveness and facilitating an immunosuppressive environment. Oncogene. PMID 38177412 DOI: 10.1038/s41388-023-02918-w  0.072
2019 Huang YJ, Jan YH, Chang YC, Tsai HF, Wu AT, Chen CL, Hsiao M. ATP Synthase Subunit Epsilon Overexpression Promotes Metastasis by Modulating AMPK Signaling to Induce Epithelial-to-Mesenchymal Transition and Is a Poor Prognostic Marker in Colorectal Cancer Patients. Journal of Clinical Medicine. 8. PMID 31330880 DOI: 10.3390/jcm8071070  0.072
2021 Tsai HF, Chang YC, Li CH, Chan MH, Chen CL, Tsai WC, Hsiao M. Type V collagen alpha 1 chain promotes the malignancy of glioblastoma through PPRC1-ESM1 axis activation and extracellular matrix remodeling. Cell Death Discovery. 7: 313. PMID 34702798 DOI: 10.1038/s41420-021-00661-3  0.072
2022 Chuang HH, Huang MS, Zhen YY, Chuang CH, Lee YR, Hsiao M, Yang CJ. FAK Executes Anti-Senescence via Regulating EZH2 Signaling in Non-Small Cell Lung Cancer Cells. Biomedicines. 10. PMID 36009484 DOI: 10.3390/biomedicines10081937  0.072
2014 Wu CS, Yu CY, Chuang CY, Hsiao M, Kao CF, Kuo HC, Chuang TJ. Integrative transcriptome sequencing identifies trans-splicing events with important roles in human embryonic stem cell pluripotency. Genome Research. 24: 25-36. PMID 24131564 DOI: 10.1101/gr.159483.113  0.071
2016 Wei L, Su YK, Lin CM, Chao TY, Huang SP, Huynh TT, Jan HJ, Whang-Peng J, Chiou JF, Wu AT, Hsiao M. Preclinical investigation of ibrutinib, a Bruton's kinase tyrosine (Btk) inhibitor, in suppressing glioma tumorigenesis and stem cell phenotypes. Oncotarget. PMID 27564106 DOI: 10.18632/oncotarget.11572  0.071
2013 Yeh CT, Huang WC, Rao YK, Ye M, Lee WH, Wang LS, Tzeng DT, Wu CH, Shieh YS, Huang CY, Chen YJ, Hsiao M, Wu AT, Yang Z, Tzeng YM. A sesquiterpene lactone antrocin from Antrodia camphorata negatively modulates JAK2/STAT3 signaling via microRNA let-7c and induces apoptosis in lung cancer cells. Carcinogenesis. 34: 2918-28. PMID 23880305 DOI: 10.1093/Carcin/Bgt255  0.068
2003 Lee CH, Hsiao M, Tseng YL, Chang FH. Enhanced gene delivery to HER-2-overexpressing breast cancer cells by modified immunolipoplexes conjugated with the anti-HER-2 antibody Journal of Biomedical Science. 10: 337-344. PMID 12711861 DOI: 10.1159/000070099  0.068
2022 Chan MH, Chang ZX, Huang CF, Lee LJ, Liu RS, Hsiao M. Integrated therapy platform of exosomal system: hybrid inorganic/organic nanoparticles with exosomes for cancer treatment. Nanoscale Horizons. PMID 35043812 DOI: 10.1039/d1nh00637a  0.067
2020 Huang WT, Chan MH, Chen X, Hsiao M, Liu RS. Theranostic nanobubble encapsulating a plasmon-enhanced upconversion hybrid nanosystem for cancer therapy. Theranostics. 10: 782-796. PMID 31903150 DOI: 10.7150/thno.38684  0.066
2016 Chang WM, Lin YF, Su CY, Peng HY, Chang YC, Lai TC, Wu GH, Hsu YM, Chi LH, Hsiao JR, Chen CL, Chang JY, Shieh YS, Hsiao M, Shiah SG. Dysregulation of Runx2/Activin A axis upon miR376c downregulation promotes lymph node metastasis in head and neck squamous cell carcinoma. Cancer Research. PMID 27760788 DOI: 10.1158/0008-5472.CAN-16-1188  0.066
2016 Su CY, Chang YC, Yang CJ, Huang MS, Hsiao M. The opposite prognostic effect of NDUFS1 and NDUFS8 in lung cancer reflects the oncojanus role of mitochondrial complex I. Scientific Reports. 6: 31357. PMID 27516145 DOI: 10.1038/srep31357  0.066
2021 Chan MH, Lu CN, Chung YL, Chang YC, Li CH, Chen CL, Wei DH, Hsiao M. Magnetically guided theranostics: montmorillonite-based iron/platinum nanoparticles for enhancing in situ MRI contrast and hepatocellular carcinoma treatment. Journal of Nanobiotechnology. 19: 308. PMID 34627267 DOI: 10.1186/s12951-021-01052-7  0.065
2021 Lin TC, Hsiao M. Leptin and Cancer: Updated Functional Roles in Carcinogenesis, Therapeutic Niches, and Developments. International Journal of Molecular Sciences. 22. PMID 33799880 DOI: 10.3390/ijms22062870  0.065
2023 Li CH, Fang CY, Chan MH, Chen CL, Chang YC, Hsiao M. The cytoplasmic expression of FSTL3 correlates with colorectal cancer progression, metastasis status and prognosis. Journal of Cellular and Molecular Medicine. 27: 672-686. PMID 36807490 DOI: 10.1111/jcmm.17690  0.065
2022 Wen YC, Chen WY, Tram VTN, Yeh HL, Chen WH, Jiang KC, Abou-Kheir W, Huang J, Hsiao M, Liu YN. Pyruvate kinase L/R links metabolism dysfunction to neuroendocrine differentiation of prostate cancer by ZBTB10 deficiency. Cell Death & Disease. 13: 252. PMID 35306527 DOI: 10.1038/s41419-022-04694-z  0.065
2011 Su JL, Cheng X, Yamaguchi H, Chang YW, Hou CF, Lee DF, Ko HW, Hua KT, Wang YN, Hsiao M, Chen PB, Hsu JM, Bast RC, Hortobagyi GN, Hung MC. FOXO3a-Dependent Mechanism of E1A-Induced Chemosensitization. Cancer Research. 71: 6878-87. PMID 21911455 DOI: 10.1158/0008-5472.Can-11-0295  0.065
2017 Chen MW, Yang ST, Chien MH, Hua KT, Wu CJ, Hsiao SM, Lin H, Hsiao M, Su JL, Wei LH. The STAT3-miRNA-92-Wnt signaling pathway regulates spheroid formation and malignant progression in ovarian cancer. Cancer Research. PMID 28209618 DOI: 10.1158/0008-5472.CAN-16-1115  0.064
2017 Chi LH, Chang WM, Chang YC, Chan YC, Tai CC, Leung KW, Chen CL, Wu AT, Lai TC, Li YJ, Hsiao M. Global Proteomics-based Identification and Validation of Thymosin Beta-4 X-Linked as a Prognostic Marker for Head and Neck Squamous Cell Carcinoma. Scientific Reports. 7: 9031. PMID 28831179 DOI: 10.1038/s41598-017-09539-w  0.064
2002 Liang CL, Yang LC, Lui CC, Hsiao M, Hung KS. Parietal contusion and transient superior sagittal sinus occlusion presenting with cortical blindness Journal of Trauma - Injury, Infection and Critical Care. 53: 1006-1009. PMID 12435960  0.064
2005 Chen AY, Shih SJ, Garriques LN, Rothenberg ML, Hsiao M, Curran DP. Silatecan DB-67 is a novel DNA topoisomerase I-targeted radiation sensitizer. Molecular Cancer Therapeutics. 4: 317-24. PMID 15713902  0.064
2024 Chien MH, Yang YC, Ho KH, Ding YF, Chen LH, Chiu WK, Chen JQ, Tung MC, Hsiao M, Lee WJ. Cyclic increase in the ADAMTS1-L1CAM-EGFR axis promotes the EMT and cervical lymph node metastasis of oral squamous cell carcinoma. Cell Death & Disease. 15: 82. PMID 38263290 DOI: 10.1038/s41419-024-06452-9  0.063
2022 Chuang HH, Zhen YY, Tsai YC, Chuang CH, Hsiao M, Huang MS, Yang CJ. FAK in Cancer: From Mechanisms to Therapeutic Strategies. International Journal of Molecular Sciences. 23. PMID 35163650 DOI: 10.3390/ijms23031726  0.063
2018 Tzeng YT, Liu PF, Li JY, Liu LF, Kuo SY, Hsieh CW, Lee CH, Wu CH, Hsiao M, Chang HT, Shu CW. Kinome-Wide siRNA Screening Identifies Src-Enhanced Resistance of Chemotherapeutic Drugs in Triple-Negative Breast Cancer Cells. Frontiers in Pharmacology. 9: 1285. PMID 30473665 DOI: 10.3389/fphar.2018.01285  0.063
2017 Lo A, Li CP, Buza EL, Blomberg R, Govindaraju P, Avery D, Monslow J, Hsiao M, Puré E. Fibroblast activation protein augments progression and metastasis of pancreatic ductal adenocarcinoma. Jci Insight. 2. PMID 28978805 DOI: 10.1172/Jci.Insight.92232  0.063
2015 Li JE, Fu JS, Hsiao MS, Tien CH. Experimental method of optical coherence characterization in phase-space measurement Proceedings of Spie - the International Society For Optical Engineering. 9630. DOI: 10.1117/12.2190413  0.062
2017 Chang JH, Lai SL, Chen WS, Hung WY, Chow JM, Hsiao M, Lee WJ, Chien MH. Quercetin suppresses the metastatic ability of lung cancer through inhibiting Snail-dependent Akt activation and Snail-independent ADAM9 expression pathways. Biochimica Et Biophysica Acta. PMID 28648644 DOI: 10.1016/j.bbamcr.2017.06.017  0.062
2015 Lin TC, Liu YP, Chan YC, Su CY, Lin YF, Hsu SL, Yang CS, Hsiao M. Ghrelin promotes renal cell carcinoma metastasis via Snail activation and is associated with poor prognosis. The Journal of Pathology. PMID 25925728 DOI: 10.1002/path.4552  0.062
2019 Tien CP, Chen CH, Lin WY, Liu CS, Liu KJ, Hsiao M, Chang YC, Hung SC. Ambient particulate matter attenuates Sirtuin1 and augments SREBP1-PIR axis to induce human pulmonary fibroblast inflammation: molecular mechanism of microenvironment associated with COPD. Aging. 11. PMID 31299012 DOI: 10.18632/aging.102077  0.061
2010 Chu CY, Chen HL, Hsiao MS, Chen JH, Nandan B. Crystallization in the binary blends of crystalline-amorphous diblock copolymers bearing chemically different crystalline block Macromolecules. 43: 3376-3382. DOI: 10.1021/Ma9025509  0.061
2015 Wu CC, Wu HJ, Wang CH, Lin CH, Hsu SC, Chen YR, Hsiao M, Schuyler SC, Lu FL, Ma N, Lu J. Akt suppresses DLK for maintaining self-renewal of mouse embryonic stem cells. Cell Cycle (Georgetown, Tex.). 14: 1207-17. PMID 25802931 DOI: 10.1080/15384101.2015.1014144  0.061
2020 Yang YF, Wang YY, Hsiao M, Lo S, Chang YC, Jan YH, Lai TC, Lee YC, Hsieh YC, Yuan SF. IMPAD1 functions as mitochondrial electron transport inhibitor that prevents ROS production and promotes lung cancer metastasis through the AMPK-Notch1-HEY1 pathway. Cancer Letters. PMID 32417395 DOI: 10.1016/j.canlet.2020.04.025  0.061
2012 Short NJ, Abbott AL, Hsiao MS, Fox EA. Robust feature extraction in fingerprint images using ridge model tracking 2012 Ieee 5th International Conference On Biometrics: Theory, Applications and Systems, Btas 2012. 259-264. DOI: 10.1109/BTAS.2012.6374586  0.061
2019 Lin TC, Yeh YM, Fan WL, Chang YC, Lin WM, Yang TY, Hsiao M. Ghrelin Upregulates Oncogenic Aurora A to Promote Renal Cell Carcinoma Invasion. Cancers. 11. PMID 30836712 DOI: 10.3390/cancers11030303  0.061
2023 Lin YW, Wen YC, Hsiao CH, Lai FR, Yang SF, Yang YC, Ho KH, Hsieh FK, Hsiao M, Lee WJ, Chien MH. Proteoglycan SPOCK1 as a Poor Prognostic Marker Promotes Malignant Progression of Clear Cell Renal Cell Carcinoma via Triggering the Snail/Slug-MMP-2 Axis-Mediated Epithelial-to-Mesenchymal Transition. Cells. 12. PMID 36766694 DOI: 10.3390/cells12030352  0.061
2016 Lo YL, Liou GG, Lyu JH, Hsiao M, Hsu TL, Wong CH. Dengue Virus Infection Is through a Cooperative Interaction between a Mannose Receptor and CLEC5A on Macrophage as a Multivalent Hetero-Complex. Plos One. 11: e0166474. PMID 27832191 DOI: 10.1371/Journal.Pone.0166474  0.061
2022 Chang YC, Chang PM, Li CH, Chan MH, Lee YJ, Chen MH, Hsiao M. Aldolase A and Phospholipase D1 Synergistically Resist Alkylating Agents and Radiation in Lung Cancer. Frontiers in Oncology. 11: 811635. PMID 35127525 DOI: 10.3389/fonc.2021.811635  0.06
2020 Pikatan NW, Liu YL, Bamodu OA, Hsiao M, Hsu WM, Haryana SM, Sutaryo, Chao TY, Yeh CT. Aberrantly expressed Bruton's tyrosine kinase preferentially drives metastatic and stem cell-like phenotypes in neuroblastoma cells. Cellular Oncology (Dordrecht). PMID 32705581 DOI: 10.1007/s13402-020-00541-5  0.059
2020 Tan KT, Yeh CN, Chang YC, Cheng JH, Fang WL, Yeh YC, Wang YC, Hsu DS, Wu CE, Lai JI, Chang PM, Chen MH, Lu ML, Chen SJ, Chao Y, ... Hsiao M, et al. : new biomarker and drug target for checkpoint blockade immunotherapy. Journal For Immunotherapy of Cancer. 8. PMID 32238472 DOI: 10.1136/jitc-2019-000485  0.059
2013 Yeh CT, Su CL, Huang CY, Lin JK, Lee WH, Chang PM, Kuo YL, Liu YW, Wang LS, Wu CH, Shieh YS, Jan YH, Chuang YJ, Hsiao M, Wu AT. A preclinical evaluation of antimycin a as a potential antilung cancer stem cell agent. Evidence-Based Complementary and Alternative Medicine : Ecam. 2013: 910451. PMID 23840269 DOI: 10.1155/2013/910451  0.059
2023 Chen WY, Thuy Dung PV, Yeh HL, Chen WH, Jiang KC, Li HR, Chen ZQ, Hsiao M, Huang J, Wen YC, Liu YN. Targeting PKLR/MYCN/ROMO1 signaling suppresses neuroendocrine differentiation of castration-resistant prostate cancer. Redox Biology. 62: 102686. PMID 36963289 DOI: 10.1016/j.redox.2023.102686  0.059
2019 Chang YC, Tsai HF, Huang SP, Chen CL, Hsiao M, Tsai WC. Enrichment of Aldolase C Correlates with Low Non-Mutated IDH1 Expression and Predicts a Favorable Prognosis in Glioblastomas. Cancers. 11. PMID 31450822 DOI: 10.3390/cancers11091238  0.058
2023 Chiang CL, Ma Y, Hou YC, Pan J, Chen SY, Chien MH, Zhang ZX, Hsu WH, Wang X, Zhang J, Li H, Sun L, Fallen S, Lee I, Chen XY, ... ... Hsiao M, et al. Dual targeted extracellular vesicles regulate oncogenic genes in advanced pancreatic cancer. Nature Communications. 14: 6692. PMID 37872156 DOI: 10.1038/s41467-023-42402-3  0.058
2012 Wang CH, Ma N, Lin YT, Wu CC, Hsiao M, Lu FL, Yu CC, Chen SY, Lu J. A shRNA functional screen reveals Nme6 and Nme7 are crucial for embryonic stem cell renewal. Stem Cells (Dayton, Ohio). 30: 2199-211. PMID 22899353 DOI: 10.1002/stem.1203  0.057
2023 Chen YC, Chen CY, Chiang TW, Chan MH, Hsiao M, Ke HM, Tsai IJ, Chuang TJ. Detecting intragenic trans-splicing events from non-co-linearly spliced junctions by hybrid sequencing. Nucleic Acids Research. PMID 37497782 DOI: 10.1093/nar/gkad623  0.057
2011 Jagadeesan H, Hsiao MS. Continuous authentication in computers Continuous Authentication Using Biometrics: Data, Models, and Metrics. 40-66. DOI: 10.4018/978-1-61350-129-0.ch003  0.057
2017 Su YK, Shih PH, Lee WH, Bamodu OA, Wu ATH, Huang CC, Tzeng YM, Hsiao M, Yeh CT, Lin CM. Antrodia cinnamomea sensitizes radio-/chemo-therapy of cancer stem-like cells by modulating microRNA expression. Journal of Ethnopharmacology. PMID 28602756 DOI: 10.1016/j.jep.2017.06.004  0.057
2020 Yang YC, Chien MH, Lai TC, Su CY, Jan YH, Hsiao M, Chen CL. Monoamine Oxidase B Expression Correlates with a Poor Prognosis in Colorectal Cancer Patients and Is Significantly Associated with Epithelial-to-Mesenchymal Transition-Related Gene Signatures. International Journal of Molecular Sciences. 21. PMID 32316576 DOI: 10.3390/ijms21082813  0.057
2017 Huang SP, Chang YC, Low QH, Wu ATH, Chen CL, Lin YF, Hsiao M. BICD1 expression, as a potential biomarker for prognosis and predicting response to therapy in patients with glioblastomas. Oncotarget. 8: 113766-113791. PMID 29371945 DOI: 10.18632/oncotarget.22667  0.057
2019 Jan YH, Lai TC, Yang CJ, Huang MS, Hsiao M. A co-expressed gene status of adenylate kinase 1/4 reveals prognostic gene signature associated with prognosis and sensitivity to EGFR targeted therapy in lung adenocarcinoma. Scientific Reports. 9: 12329. PMID 31444368 DOI: 10.1038/s41598-019-48243-9  0.056
2021 Hsu HJ, Tung CP, Yu CM, Chen CY, Chen HS, Huang YC, Tsai PH, Lin SI, Peng HP, Chiu YK, Tsou YL, Kuo WY, Jian JW, Hung FH, Hsieh CY, ... Hsiao M, et al. Eradicating mesothelin-positive human gastric and pancreatic tumors in xenograft models with optimized anti-mesothelin antibody-drug conjugates from synthetic antibody libraries. Scientific Reports. 11: 15430. PMID 34326410 DOI: 10.1038/s41598-021-94902-1  0.056
2022 Li CH, Chan MH, Liang SM, Chang YC, Hsiao M. Fascin-1: Updated biological functions and therapeutic implications in cancer biology. Bba Advances. 2: 100052. PMID 37082587 DOI: 10.1016/j.bbadva.2022.100052  0.056
2002 Huang MS, Wang TJ, Liang CL, Huang HM, Yang IC, Yi-Jan H, Hsiao M. Establishment of fluorescent lung carcinoma metastasis model and its real-time microscopic detection in SCID mice. Clinical & Experimental Metastasis. 19: 359-68. PMID 12090477 DOI: 10.1023/a:1015562532564  0.056
2015 Chang YW, Su YJ, Hsiao M, Wei KC, Lin WH, Liang CL, Chen SC, Lee JL. Diverse Targets of β-catenin during the Epithelial-Mesenchymal Transition Define Cancer Stem Cells and Predict Disease Relapse. Cancer Research. PMID 26122848 DOI: 10.1158/0008-5472.CAN-14-3265  0.055
2010 Lee CF, Ou DS, Lee SB, Chang LH, Lin RK, Li YS, Upadhyay AK, Cheng X, Wang YC, Hsu HS, Hsiao M, Wu CW, Juan LJ. hNaa10p contributes to tumorigenesis by facilitating DNMT1-mediated tumor suppressor gene silencing. The Journal of Clinical Investigation. 120: 2920-30. PMID 20592467 DOI: 10.1172/Jci42275  0.055
2017 Wu CH, Lan CH, Wu KL, Wu YM, Jane WN, Hsiao M, Wu HC. Hepatocellular carcinoma-targeted nanoparticles for cancer therapy. International Journal of Oncology. PMID 29207071 DOI: 10.3892/ijo.2017.4205  0.055
2011 Fang L, Hsiao MS. A fast approximation algorithm for MIN-ONE SAT and its application on MAX-SAT solving Advanced Techniques in Logic Synthesis, Optimizations and Applications. 149-170. DOI: 10.1007/978-1-4419-7518-8_9  0.055
2021 Cheng CL, Chan MH, Feng SJ, Hsiao M, Liu RS. Long-Term Near-Infrared Signal Tracking of the Therapeutic Changes of Glioblastoma Cells in Brain Tissue with Ultrasound-Guided Persistent Luminescent Nanocomposites. Acs Applied Materials & Interfaces. PMID 33507729 DOI: 10.1021/acsami.0c22489  0.055
2019 Hung WY, Chang JH, Cheng Y, Cheng GZ, Huang HC, Hsiao M, Chung CL, Lee WJ, Chien MH. Autophagosome accumulation-mediated ATP energy deprivation induced by penfluridol triggers nonapoptotic cell death of lung cancer via activating unfolded protein response. Cell Death & Disease. 10: 538. PMID 31308361 DOI: 10.1038/s41419-019-1785-9  0.055
2023 Chang YC, Li CH, Chan MH, Fang CY, Zhang ZX, Chen CL, Hsiao M. Overexpression of synaptic vesicle protein Rab GTPase 3C promotes vesicular exocytosis and drug resistance in colorectal cancer cells. Molecular Oncology. PMID 36652260 DOI: 10.1002/1878-0261.13378  0.055
2019 Su YK, Bamodu OA, Tzeng YM, Hsiao M, Yeh CT, Lin CM. Ovatodiolide inhibits the oncogenicity and cancer stem cell-like phenotype of glioblastoma cells, as well as potentiate the anticancer effect of temozolomide. Phytomedicine : International Journal of Phytotherapy and Phytopharmacology. 61: 152840. PMID 31035045 DOI: 10.1016/j.phymed.2019.152840  0.055
2023 Lin CC, Yang CP, Cheng PY, Hsiao M, Liu YP. Escitalopram reversibility of the impacts following chronic stress on central 5-HT profiles - Implications to depression and anxiety. Behavioural Brain Research. 114613. PMID 37544369 DOI: 10.1016/j.bbr.2023.114613  0.054
2013 Lee CM, Su YH, Huynh TT, Lee WH, Chiou JF, Lin YK, Hsiao M, Wu CH, Lin YF, Wu AT, Yeh CT. BlueBerry Isolate, Pterostilbene, Functions as a Potential Anticancer Stem Cell Agent in Suppressing Irradiation-Mediated Enrichment of Hepatoma Stem Cells. Evidence-Based Complementary and Alternative Medicine : Ecam. 2013: 258425. PMID 23878592 DOI: 10.1155/2013/258425  0.054
2016 Su YH, Huang WC, Huang TH, Huang YJ, Sue YK, Huynh TT, Hsiao M, Liu TZ, Th Wu A, Lin CM. Folate deficient tumor microenvironment promotes epithelial-to-mesenchymal transition and cancer stem-like phenotypes. Oncotarget. PMID 27119349 DOI: 10.18632/oncotarget.8910  0.054
2022 Chang WM, Li LJ, Chiu IA, Lai TC, Chang YC, Tsai HF, Yang CJ, Huang MS, Su CY, Lai TL, Jan YH, Hsiao M. The aberrant cancer metabolic gene carbohydrate sulfotransferase 11 promotes non-small cell lung cancer cell metastasis via dysregulation of ceruloplasmin and intracellular iron balance. Translational Oncology. 25: 101508. PMID 35985204 DOI: 10.1016/j.tranon.2022.101508  0.054
2020 Chang YC, Yang YF, Chiou J, Tsai HF, Fang CY, Yang CJ, Chen CL, Hsiao M. Nonenzymatic function of Aldolase A downregulates miR-145 to promote the Oct4/DUSP4/TRAF4 axis and the acquisition of lung cancer stemness. Cell Death & Disease. 11: 195. PMID 32188842 DOI: 10.1038/s41419-020-2387-2  0.054
2013 Lee YC, Que J, Chen YC, Lin JT, Liou YC, Liao PC, Liu YP, Lee KH, Lin LC, Hsiao M, Hung LY, Huang CY, Lu PJ. Pin1 acts as a negative regulator of the G2/M transition by interacting with the Aurora-A-Bora complex. Journal of Cell Science. 126: 4862-72. PMID 23970419 DOI: 10.1242/jcs.121368  0.053
2012 Jan YH, Tsai HY, Yang CJ, Huang MS, Yang YF, Lai TC, Lee CH, Jeng YM, Huang CY, Su JL, Chuang YJ, Hsiao M. Adenylate kinase-4 is a marker of poor clinical outcomes that promotes metastasis of lung cancer by downregulating the transcription factor ATF3. Cancer Research. 72: 5119-29. PMID 23002211 DOI: 10.1158/0008-5472.CAN-12-1842  0.053
2018 Chang YC, Yang YC, Tien CP, Yang CJ, Hsiao M. Roles of Aldolase Family Genes in Human Cancers and Diseases. Trends in Endocrinology and Metabolism: Tem. PMID 29907340 DOI: 10.1016/j.tem.2018.05.003  0.053
2014 Chang YW, Chen MW, Chiu CF, Hong CC, Cheng CC, Hsiao M, Chen CA, Wei LH, Su JL. Arsenic trioxide inhibits CXCR4-mediated metastasis by interfering miR-520h/PP2A/NF-κB signaling in cervical cancer. Annals of Surgical Oncology. 21: S687-95. PMID 25047463 DOI: 10.1245/s10434-014-3812-5  0.053
2022 Lin CC, Cheng PY, Hsiao M, Liu YP. Effects of RU486 in Treatment of Traumatic Stress-Induced Glucocorticoid Dysregulation and Fear-Related Abnormalities: Early versus Late Intervention. International Journal of Molecular Sciences. 23. PMID 35628305 DOI: 10.3390/ijms23105494  0.053
2016 Hsieh CC, Shyr YM, Liao WY, Chen TH, Wang SE, Lu PC, Lin PY, Chen YB, Mao WY, Han HY, Hsiao M, Yang WB, Li WS, Sher YP, Shen CN. Elevation of β-galactoside α2,6-sialyltransferase 1 in a fructoseresponsive manner promotes pancreatic cancer metastasis. Oncotarget. PMID 28032597 DOI: 10.18632/Oncotarget.13845  0.053
2018 Chan MH, Pan YT, Chan YC, Hsiao M, Chen CH, Sun L, Liu RS. Nanobubble-embedded inorganic 808 nm excited upconversion nanocomposites for tumor multiple imaging and treatment. Chemical Science. 9: 3141-3151. PMID 29732096 DOI: 10.1039/c8sc00108a  0.053
2021 Chan MH, Chen BG, Ngo LT, Huang WT, Li CH, Liu RS, Hsiao M. Natural Carbon Nanodots: Toxicity Assessment and Theranostic Biological Application. Pharmaceutics. 13. PMID 34834289 DOI: 10.3390/pharmaceutics13111874  0.053
2018 Cheng TY, Yang YC, Wang HP, Tien YW, Shun CT, Huang HY, Hsiao M, Hua KT. Pyruvate kinase M2 promotes pancreatic ductal adenocarcinoma invasion and metastasis through phosphorylation and stabilization of PAK2 protein. Oncogene. PMID 29335522 DOI: 10.1038/s41388-017-0086-y  0.053
2019 Wen YT, Wu AT, Bamodu OA, Wei L, Lin CM, Yen Y, Chao TY, Mukhopadhyay D, Hsiao M, Huang HS. A Novel Multi-Target Small Molecule, LCC-09, Inhibits Stemness and Therapy-Resistant Phenotypes of Glioblastoma Cells by Increasing miR-34a and Deregulating the DRD4/Akt/mTOR Signaling Axis. Cancers. 11. PMID 31561595 DOI: 10.3390/cancers11101442  0.053
2022 Lin CH, Lin WD, Huang YC, Chen YC, Loh ZJ, Ger LP, Lin FC, Li HY, Cheng HC, Lee KH, Hsiao M, Lu PJ. Carboxyl-terminal modulator protein facilitates tumor metastasis in triple-negative breast cancer. Cancer Gene Therapy. PMID 36400965 DOI: 10.1038/s41417-022-00559-x  0.052
2016 Chen CW, Huang JH, Lai TC, Jan YH, Hsiao M, Chen CH, Hwu YK, Liu RS. Evaluation of the intracellular uptake and cytotoxicity effect of TiO nanostructures for various human oral and lung cells under dark conditions. Toxicology Research. 5: 303-311. PMID 30090346 DOI: 10.1039/c5tx00312a  0.052
2020 Yang YF, Chang YC, Jan YH, Yang CJ, Huang MS, Hsiao M. Squalene synthase promotes the invasion of lung cancer cells via the osteopontin/ERK pathway. Oncogenesis. 9: 78. PMID 32862200 DOI: 10.1038/s41389-020-00262-2  0.052
2020 Fang CY, Lai TC, Hsiao M, Chang YC. The Diverse Roles of TAO Kinases in Health and Diseases. International Journal of Molecular Sciences. 21. PMID 33050415 DOI: 10.3390/ijms21207463  0.052
2016 Lin CW, Yang WE, Lee WJ, Hua KT, Hsieh FK, Hsiao M, Chen CC, Chow JM, Chen MK, Yang SF, Chien MH. Lipocalin 2 prevents oral cancer metastasis through carbonic anhydrase IX inhibition and is associated with favourable prognosis. Carcinogenesis. PMID 27207653 DOI: 10.1093/carcin/bgw050  0.052
2013 Cheng LC, Chen HM, Lai TC, Chan YC, Liu RS, Sung JC, Hsiao M, Chen CH, Her LJ, Tsai DP. Targeting polymeric fluorescent nanodiamond-gold/silver multi-functional nanoparticles as a light-transforming hyperthermia reagent for cancer cells. Nanoscale. 5: 3931-40. PMID 23536050 DOI: 10.1039/c3nr34091k  0.052
2013 Kuo TC, Tan CT, Chang YW, Hong CC, Lee WJ, Chen MW, Jeng YM, Chiou J, Yu P, Chen PS, Wang MY, Hsiao M, Su JL, Kuo ML. Angiopoietin-like protein 1 suppresses SLUG to inhibit cancer cell motility. The Journal of Clinical Investigation. 123: 1082-95. PMID 23434592 DOI: 10.1172/JCI64044  0.051
2022 Li LJ, Li CH, Chang PM, Lai TC, Yong CY, Feng SW, Hsiao M, Chang WM, Huang CF. Dehydroepiandrosterone (DHEA) Sensitizes Irinotecan to Suppress Head and Neck Cancer Stem-Like Cells by Downregulation of WNT Signaling. Frontiers in Oncology. 12: 775541. PMID 35912234 DOI: 10.3389/fonc.2022.775541  0.051
2023 Li CH, Fang CY, Chan MH, Lu PJ, Ger LP, Chu JS, Chang YC, Chen CL, Hsiao M. The activation of EP300 by F11R leads to EMT and acts as a prognostic factor in triple-negative breast cancers. The Journal of Pathology. Clinical Research. PMID 36782375 DOI: 10.1002/cjp2.313  0.051
2015 Sung PL, Jan YH, Lin SC, Huang CC, Lin H, Wen KC, Chao KC, Lai CR, Wang PH, Chuang CM, Wu HH, Twu NF, Yen MS, Hsiao M, Huang CF. Periostin in tumor microenvironment is associated with poor prognosis and platinum resistance in epithelial ovarian carcinoma. Oncotarget. PMID 26716408 DOI: 10.18632/Oncotarget.6700  0.051
2020 Fan CC, Tsai ST, Lin CY, Chang LC, Yang JC, Chen GY, Sher YP, Wang SC, Hsiao M, Chang WC. EFHD2 contributes to non-small cell lung cancer cisplatin resistance by the activation of NOX4-ROS-ABCC1 axis. Redox Biology. 34: 101571. PMID 32446175 DOI: 10.1016/j.redox.2020.101571  0.05
2020 Lin YW, Wen YC, Chu CY, Tung MC, Yang YC, Hua KT, Pan KF, Hsiao M, Lee WJ, Chien MH. Stabilization of ADAM9 by N-α-acetyltransferase 10 protein contributes to promoting progression of androgen-independent prostate cancer. Cell Death & Disease. 11: 591. PMID 32719332 DOI: 10.1038/s41419-020-02786-2  0.05
2022 Chou CC, Hua KT, Chen MW, Wu CJ, Hsu CH, Wang JT, Hsiao M, Wei LH. Discovery and characterization of a monoclonal antibody targeting a conformational epitope of IL-6/IL-6Rα to inhibit IL-6/ IL-6Rα/gp130 hexameric signaling complex formation. Mabs. 14: 2029675. PMID 35133941 DOI: 10.1080/19420862.2022.2029675  0.05
2022 Chou PC, Liu CM, Weng CH, Yang KC, Cheng ML, Lin YC, Yang RB, Shyu BC, Shyue SK, Liu JD, Chen SP, Hsiao M, Hu YF. Fibroblasts Drive Metabolic Reprogramming in Pacemaker Cardiomyocytes. Circulation Research. 101161CIRCRESAHA1213. PMID 35611699 DOI: 10.1161/CIRCRESAHA.121.320301  0.05
2018 Lin TC, Huang KW, Liu CW, Chang YC, Lin WM, Yang TY, Hsiao M. Leptin signaling axis specifically associates with clinical prognosis and is multifunctional in regulating cancer progression. Oncotarget. 9: 17210-17219. PMID 29682217 DOI: 10.18632/oncotarget.24966  0.05
2021 Yeh CT, Chen TT, Satriyo PB, Wang CH, Wu ATH, Chao TY, Lee KY, Hsiao M, Wang LS, Kuo KT. Bruton's tyrosine kinase (BTK) mediates resistance to EGFR inhibition in non-small-cell lung carcinoma. Oncogenesis. 10: 56. PMID 34315851 DOI: 10.1038/s41389-021-00345-8  0.05
2021 Chan MH, Chen W, Li CH, Fang CY, Chang YC, Wei DH, Liu RS, Hsiao M. An Advanced Magnetic Resonance Imaging and Ultrasonic Theranostics Nanocomposite Platform: Crossing the Blood-Brain Barrier and Improving the Suppression of Glioblastoma Using Iron-Platinum Nanoparticles in Nanobubbles. Acs Applied Materials & Interfaces. PMID 34076419 DOI: 10.1021/acsami.1c04990  0.05
2013 Lee YC, Liao PC, Liou YC, Hsiao M, Huang CY, Lu PJ. Glycogen synthase kinase 3 β activity is required for hBora/Aurora A-mediated mitotic entry. Cell Cycle (Georgetown, Tex.). 12: 953-60. PMID 23442801 DOI: 10.4161/cc.23945  0.05
2015 Liu YP, Lee JJ, Lai TC, Lee CH, Hsiao YW, Chen PS, Liu WT, Hong CY, Lin SK, Ping Kuo MY, Lu PJ, Hsiao M. Suppressive function of low-dose deguelin on the invasion of oral cancer cells by downregulating tumor necrosis factor alpha-induced nuclear factor-kappa B signaling. Head & Neck. PMID 25784049 DOI: 10.1002/hed.24034  0.049
2019 Tai PA, Liu YL, Wen YT, Lin CM, Huynh TT, Hsiao M, Wu ATH, Wei L. The Development and Applications of a Dual Optical Imaging System for Studying Glioma Stem Cells. Molecular Imaging. 18: 1536012119870899. PMID 31478435 DOI: 10.1177/1536012119870899  0.049
2019 Chang CY, Chang SL, Leu JD, Chang YC, Hsiao M, Lin LT, Lin HN, Lee YJ. Comparison of cofilin‑1 and Twist‑1 protein expression in human non‑small cell lung cancer tissues. Oncology Reports. PMID 31233187 DOI: 10.3892/or.2019.7193  0.049
2021 Chuang HH, Zhen YY, Tsai YC, Chuang CH, Huang MS, Hsiao M, Yang CJ. Targeting Pin1 for Modulation of Cell Motility and Cancer Therapy. Biomedicines. 9. PMID 33807199 DOI: 10.3390/biomedicines9040359  0.049
2022 Li LJ, Chang PM, Li CH, Chang YC, Lai TC, Su CY, Chen CL, Chang WM, Hsiao M, Feng SW. FAS receptor regulates NOTCH activity through ERK-JAG1 axis activation and controls oral cancer stemness ability and pulmonary metastasis. Cell Death Discovery. 8: 101. PMID 35249111 DOI: 10.1038/s41420-022-00899-5  0.049
2017 Chien MH, Chang WM, Lee WJ, Chang YC, Lai TC, Chan DV, Sharma R, Lin YF, Hsiao M. A Fas ligand (FasL)-fused humanized antibody against tumor-associated glycoprotein 72 selectively exhibits the cytotoxic effect against oral cancer cells with a low FasL/Fas ratio. Molecular Cancer Therapeutics. PMID 28292939 DOI: 10.1158/1535-7163.MCT-16-0314  0.049
2020 Wu ATH, Srivastava P, Yadav VK, Tzeng DTW, Iamsaard S, Su CY, Hsiao M, Liu MC. Ovatodiolide, isolated from Anisomeles indica, suppresses bladder carcinogenesis through suppression of mTOR/β-catenin/CDK6 and exosomal miR-21 derived from M2 tumor-associated macrophages. Toxicology and Applied Pharmacology. 115109. PMID 32544403 DOI: 10.1016/j.taap.2020.115109  0.049
2021 Huang WT, Su TY, Chan MH, Tsai JY, Do YY, Huang PL, Hsiao M, Liu RS. Near-Infrared Nanophosphor Embedded in Mesoporous Silica Nanoparticle with High Light-Harvesting Efficiency for Dual Photosystem Enhancement. Angewandte Chemie (International Ed. in English). PMID 33624929 DOI: 10.1002/anie.202015659  0.049
2012 Chuang CY, Lin KI, Hsiao M, Stone L, Chen HF, Huang YH, Lin SP, Ho HN, Kuo HC. Meiotic competent human germ cell-like cells derived from human embryonic stem cells induced by BMP4/WNT3A signaling and OCT4/EpCAM (epithelial cell adhesion molecule) selection. The Journal of Biological Chemistry. 287: 14389-401. PMID 22396540 DOI: 10.1074/jbc.M111.338434  0.049
2012 Sanjiv K, Su TL, Suman S, Kakadiya R, Lai TC, Wang HY, Hsiao M, Lee TC. The novel DNA alkylating agent BO-1090 suppresses the growth of human oral cavity cancer in xenografted and orthotopic mouse models. International Journal of Cancer. 130: 1440-50. PMID 21500194 DOI: 10.1002/ijc.26142  0.048
2016 Chen YC, Li HY, Liang JL, Ger LP, Hsiao M, Calkins MJ, Cheng HC, Chuang JH, Lu PJ. CTMP, a predictive biomarker for trastuzumab resistance in HER2-enriched breast cancer patient. Oncotarget. PMID 27447863 DOI: 10.18632/Oncotarget.10719  0.048
2021 Yeh YC, Lawal B, Hsiao M, Huang TH, Huang CF. Identification of () as a Prognostic Biomarker of Tumor Progression and Immune Evasion for Lung Cancer and Evaluation of Organosulfur Compounds from L. as Therapeutic Candidates. Biomedicines. 9. PMID 34829812 DOI: 10.3390/biomedicines9111582  0.048
2012 Yeh CT, Wu AT, Chang PM, Chen KY, Yang CN, Yang SC, Ho CC, Chen CC, Kuo YL, Lee PY, Liu YW, Yen CC, Hsiao M, Lu PJ, Lai JM, et al. Trifluoperazine, an antipsychotic agent, inhibits cancer stem cell growth and overcomes drug resistance of lung cancer. American Journal of Respiratory and Critical Care Medicine. 186: 1180-8. PMID 23024022 DOI: 10.1164/rccm.201207-1180OC  0.048
2019 Chan MH, Liu RS, Hsiao M. Graphitic carbon nitride-based nanocomposites and their biological applications: a review. Nanoscale. PMID 31380525 DOI: 10.1039/c9nr04568f  0.048
2013 Chen CY, Jan YH, Juan YH, Yang CJ, Huang MS, Yu CJ, Yang PC, Hsiao M, Hsu TL, Wong CH. Fucosyltransferase 8 as a functional regulator of nonsmall cell lung cancer. Proceedings of the National Academy of Sciences of the United States of America. 110: 630-5. PMID 23267084 DOI: 10.1073/Pnas.1220425110  0.047
2011 Hsieh KS, Lai TJ, Hwang YT, Lin MW, Weng KP, Chiu YT, Ho TY, Chen CS, Shiue YL, Hsiao M, Tsai SF, Ger LP. IL-10 promoter genetic polymorphisms and risk of Kawasaki disease in Taiwan. Disease Markers. 30: 51-9. PMID 21508509 DOI: 10.3233/DMA-2011-0765  0.047
2019 Chuang PK, Hsiao M, Hsu TL, Chang CF, Wu CY, Chen BR, Huang HW, Liao KS, Chen CC, Chen CL, Yang SM, Kuo CW, Chen P, Chiu PT, Chen IJ, et al. Signaling pathway of globo-series glycosphingolipids and β1,3-galactosyltransferase V (β3GalT5) in breast cancer. Proceedings of the National Academy of Sciences of the United States of America. 116: 3518-3523. PMID 30808745 DOI: 10.1073/Pnas.1816946116  0.047
2020 Lai TC, Fang CY, Jan YH, Hsieh HL, Yang YF, Liu CY, Chang PM, Hsiao M. Kinase shRNA screening reveals that TAOK3 enhances microtubule-targeted drug resistance of breast cancer cells via the NF-κB signaling pathway. Cell Communication and Signaling : Ccs. 18: 164. PMID 33087151 DOI: 10.1186/s12964-020-00600-2  0.047
2015 Chang JS, Su CY, Yu WH, Lee WJ, Liu YP, Lai TC, Jan YH, Yang YF, Shen CN, Shew JY, Lu J, Yang CJ, Huang MS, Lu PJ, Lin YF, ... ... Hsiao M, et al. GIT1 promotes lung cancer cell metastasis through modulating Rac1/Cdc42 activity and is associated with poor prognosis. Oncotarget. PMID 26462147 DOI: 10.18632/oncotarget.5531  0.047
2013 Chen Z, Lai TC, Jan YH, Lin FM, Wang WC, Xiao H, Wang YT, Sun W, Cui X, Li YS, Fang T, Zhao H, Padmanabhan C, Sun R, Wang DL, ... ... Hsiao M, et al. Hypoxia-responsive miRNAs target argonaute 1 to promote angiogenesis. The Journal of Clinical Investigation. 123: 1057-67. PMID 23426184 DOI: 10.1172/Jci65344  0.047
2022 Li CH, Chang YC, Hsiao M, Chan MH. Ultrasound and Nanomedicine for Cancer-Targeted Drug Delivery: Screening, Cellular Mechanisms and Therapeutic Opportunities. Pharmaceutics. 14. PMID 35745854 DOI: 10.3390/pharmaceutics14061282  0.047
2012 Hsiao MS, Cameron KL, Huh J, Hsu JR, Benigni M, Whitener JC, Owens BD. Clavicle fractures in the United States military: incidence and characteristics. Military Medicine. 177: 970-4. PMID 22934379  0.047
2010 Liu CJ, Wang CH, Chen ST, Chen HH, Leng WH, Chien CC, Wang CL, Kempson IM, Hwu Y, Lai TC, Hsiao M, Yang CS, Chen YJ, Margaritondo G. Enhancement of cell radiation sensitivity by pegylated gold nanoparticles. Physics in Medicine and Biology. 55: 931-45. PMID 20090183 DOI: 10.1088/0031-9155/55/4/002  0.047
2015 Hsu CY, Lin CH, Jan YH, Su CY, Yao YC, Cheng HC, Hsu TI, Wang PS, Su WP, Yang CJ, Huang MS, Calkins MJ, Hsiao M, Lu PJ. HIP1 is an Early-stage Prognostic Biomarker of Lung Adenocarcinoma and Suppresses Metastasis via Akt-mediated EMT. American Journal of Respiratory and Critical Care Medicine. PMID 26595459 DOI: 10.1164/Rccm.201412-2226Oc  0.047
2014 Hsiao MS, Yusoff SFM, Winnik MA, Manners I. Crystallization-driven self-assembly of block copolymers with a short crystallizable core-forming segment: Controlling micelle morphology through the influence of molar mass and solvent selectivity Macromolecules. 47: 2361-2372. DOI: 10.1021/Ma402429D  0.047
2015 Su CY, Lin TC, Lin YF, Chen MH, Lee CH, Wang HY, Lee YC, Liu YP, Chen CL, Hsiao M. DDX3 as a strongest prognosis marker and its downregulation promotes metastasis in colorectal cancer. Oncotarget. PMID 26087195 DOI: 10.18632/ONCOTARGET.4329  0.047
2019 Chen JH, Wu ATH, Bamodu OA, Yadav VK, Chao TY, Tzeng YM, Mukhopadhyay D, Hsiao M, Lee JC. Ovatodiolide Suppresses Oral Cancer Malignancy by Down-Regulating Exosomal Mir-21/STAT3/β-Catenin Cargo and Preventing Oncogenic Transformation of Normal Gingival Fibroblasts. Cancers. 12. PMID 31878245 DOI: 10.3390/cancers12010056  0.047
2019 Chiou J, Chang YC, Tsai HF, Lin YF, Huang MS, Yang CJ, Hsiao M. Follistatin-like protein 1 inhibits lung cancer metastasis by preventing proteolytic activation of osteopontin. Cancer Research. PMID 31653686 DOI: 10.1158/0008-5472.CAN-19-0842  0.046
2016 Lin YW, Lee LM, Lee WJ, Chu CY, Tan P, Yang YC, Chen WY, Yang SF, Hsiao M, Chien MH. Melatonin inhibits MMP-9 transactivation and renal cell carcinoma metastasis by suppressing Akt-MAPKs pathway and NF-κB-DNA- binding activity. Journal of Pineal Research. PMID 26732239 DOI: 10.1111/jpi.12308  0.046
2018 Huang SP, Chiou J, Jan YH, Lai TC, Yu YL, Hsiao M, Lin YF. Over-expression of lysyl oxidase is associated with poor prognosis and response to therapy of patients with lower grade gliomas. Biochemical and Biophysical Research Communications. PMID 29729273 DOI: 10.1016/j.bbrc.2018.04.228  0.045
2014 Lou YW, Wang PY, Yeh SC, Chuang PK, Li ST, Wu CY, Khoo KH, Hsiao M, Hsu TL, Wong CH. Stage-specific embryonic antigen-4 as a potential therapeutic target in glioblastoma multiforme and other cancers. Proceedings of the National Academy of Sciences of the United States of America. 111: 2482-7. PMID 24550271 DOI: 10.1073/Pnas.1400283111  0.045
2013 Chen MH, Yeh YC, Shyr YM, Jan YH, Chao Y, Li CP, Wang SE, Tzeng CH, Chang PM, Liu CY, Chen MH, Hsiao M, Huang CY. Expression of gremlin 1 correlates with increased angiogenesis and progression-free survival in patients with pancreatic neuroendocrine tumors. Journal of Gastroenterology. 48: 101-8. PMID 22706573 DOI: 10.1007/S00535-012-0614-Z  0.045
2015 Pan WA, Tsai HY, Wang SC, Hsiao M, Wu PY, Tsai MD. The RNA recognition motif of NIFK is required for rRNA maturation during cell cycle progression. Rna Biology. 12: 255-67. PMID 25826659 DOI: 10.1080/15476286.2015.1017221  0.045
2015 Wen YC, Lee WJ, Tan P, Yang SF, Hsiao M, Lee LM, Chien MH. By inhibiting snail signaling and miR-23a-3p, osthole suppresses the EMT-mediated metastatic ability in prostate cancer. Oncotarget. PMID 26110567  0.045
2022 Chan MH, Li CH, Chang YC, Hsiao M. Iron-Based Ceramic Composite Nanomaterials for Magnetic Fluid Hyperthermia and Drug Delivery. Pharmaceutics. 14. PMID 36559083 DOI: 10.3390/pharmaceutics14122584  0.045
2023 Pan JK, Lin WD, Kuo YL, Chen YC, Loh ZJ, Lin FC, Cheng HC, Hsiao M, Lu PJ. ICAM2 initiates trans-blood-CSF barrier migration and stemness properties in leptomeningeal metastasis of triple-negative breast cancer. Oncogene. PMID 37620448 DOI: 10.1038/s41388-023-02769-5  0.045
2009 Leung KW, Tsai CH, Hsiao M, Tseng CJ, Ger LP, Lee KH, Lu PJ. Pin1 overexpression is associated with poor differentiation and survival in oral squamous cell carcinoma. Oncology Reports. 21: 1097-104. PMID 19288014 DOI: 10.3892/or_00000329  0.045
2010 Su JL, Chen PB, Chen YH, Chen SC, Chang YW, Jan YH, Cheng X, Hsiao M, Hung MC. Downregulation of microRNA miR-520h by E1A contributes to anticancer activity. Cancer Research. 70: 5096-108. PMID 20501832 DOI: 10.1158/0008-5472.Can-09-4148  0.045
2021 Li LJ, Chang WM, Hsiao M. Aberrant Expression of microRNA Clusters in Head and Neck Cancer Development and Progression: Current and Future Translational Impacts. Pharmaceuticals (Basel, Switzerland). 14. PMID 33673471 DOI: 10.3390/ph14030194  0.045
2019 Chien MH, Lin YW, Wen YC, Yang YC, Hsiao M, Chang JL, Huang HC, Lee WJ. Targeting the SPOCK1-snail/slug axis-mediated epithelial-to-mesenchymal transition by apigenin contributes to repression of prostate cancer metastasis. Journal of Experimental & Clinical Cancer Research : Cr. 38: 246. PMID 31182131 DOI: 10.1186/s13046-019-1247-3  0.045
2019 Chang YC, Chiou J, Yang YF, Su CY, Lin YF, Yang CN, Lu PJ, Huang MS, Yang CJ, Hsiao M. Therapeutic Targeting of Aldolase A Interactions Inhibits Lung Cancer Metastasis and Prolongs Survival. Cancer Research. PMID 31358528 DOI: 10.1158/0008-5472.CAN-18-4080  0.044
2013 Liu YP, Yang CJ, Huang MS, Yeh CT, Wu AT, Lee YC, Lai TC, Lee CH, Hsiao YW, Lu J, Shen CN, Lu PJ, Hsiao M. Cisplatin selects for multidrug-resistant CD133+ cells in lung adenocarcinoma by activating Notch signaling. Cancer Research. 73: 406-16. PMID 23135908 DOI: 10.1158/0008-5472.CAN-12-1733  0.044
2017 Chien MH, Lee WJ, Yang YC, Li YL, Chen BR, Cheng TY, Yang PW, Wang MY, Jan YH, Lin YK, Lee JM, Hsiao M, Chen JS, Hua KT. KSRP suppresses cell invasion and metastasis through miR-23a-mediated EGR3 mRNA degradation in non-small cell lung cancer. Biochimica Et Biophysica Acta. PMID 28847731 DOI: 10.1016/j.bbagrm.2017.08.005  0.044
2021 Wen YC, Liu YN, Yeh HL, Chen WH, Jiang KC, Lin SR, Huang J, Hsiao M, Chen WY. TCF7L1 regulates cytokine response and neuroendocrine differentiation of prostate cancer. Oncogenesis. 10: 81. PMID 34799554 DOI: 10.1038/s41389-021-00371-6  0.044
2015 Cheng PW, Chen YY, Cheng WH, Lu PJ, Chen HH, Chen BR, Yeh TC, Sun GC, Hsiao M, Tseng CJ. Wnt Signaling Regulates Blood Pressure by Downregulating a GSK-3β-Mediated Pathway to Enhance Insulin Signaling in the Central Nervous System. Diabetes. PMID 25883115 DOI: 10.2337/db14-1439  0.044
2020 Chuang HH, Hsu JF, Chang HL, Wang PH, Wei PJ, Wu DW, Huang MS, Hsiao M, Yang CJ. Pin1 coordinates HDAC6 upregulation with cell migration in lung cancer cells. International Journal of Medical Sciences. 17: 2635-2643. PMID 33162791 DOI: 10.7150/ijms.50097  0.044
2019 Chang WM, Chang YC, Yang YC, Lin SK, Chang PM, Hsiao M. AKR1C1 controls cisplatin-resistance in head and neck squamous cell carcinoma through cross-talk with the STAT1/3 signaling pathway. Journal of Experimental & Clinical Cancer Research : Cr. 38: 245. PMID 31182137 DOI: 10.1186/s13046-019-1256-2  0.043
2018 Yeh CN, Chen MH, Chang YC, Wu RC, Tsao LC, Wang SY, Cheng CT, Chiang KC, Chen TW, Hsiao M, Weng WH. Over-expression of TNNI3K is Associated with Early-stage Carcinogenesis of Cholangiocarcinoma. Molecular Carcinogenesis. PMID 30334579 DOI: 10.1002/mc.22925  0.043
2007 Liu SP, Li YS, Chen YJ, Chiang EP, Li AF, Lee YH, Tsai TF, Hsiao M, Huang SF, Hwang SF, Chen YM. Glycine N-methyltransferase-/- mice develop chronic hepatitis and glycogen storage disease in the liver. Hepatology (Baltimore, Md.). 46: 1413-25. PMID 17937387 DOI: 10.1002/hep.21863  0.043
2019 Li CH, Chang YC, Hsiao M, Liang SM. FOXD1 and Gal-3 Form a Positive Regulatory Loop to Regulate Lung Cancer Aggressiveness. Cancers. 11. PMID 31795213 DOI: 10.3390/cancers11121897  0.043
2012 Chang PH, Hwang-Verslues WW, Chang YC, Chen CC, Hsiao M, Jeng YM, Chang KJ, Lee EY, Shew JY, Lee WH. Activation of Robo1 signaling of breast cancer cells by Slit2 from stromal fibroblast restrains tumorigenesis via blocking PI3K/Akt/β-catenin pathway. Cancer Research. 72: 4652-61. PMID 22826604 DOI: 10.1158/0008-5472.Can-12-0877  0.043
2023 Wuputra K, Ku CC, Pan JB, Liu CJ, Kato K, Lin YC, Liu YC, Lin CS, Hsiao M, Tai MH, Chong IW, Hu HM, Kuo CH, Wu DC, Yokoyama KK. Independent Signaling of Hepatoma Derived Growth Factor and Tumor Necrosis Factor-Alpha in Human Gastric Cancer Organoids Infected by . International Journal of Molecular Sciences. 24. PMID 37047540 DOI: 10.3390/ijms24076567  0.043
2018 Wu SY, Huang YJ, Tzeng YM, Huang CF, Hsiao M, Wu ATH, Huang TH. Destruxin B Suppresses Drug-Resistant Colon Tumorigenesis and Stemness Is Associated with the Upregulation of miR-214 and Downregulation of mTOR/β-Catenin Pathway. Cancers. 10. PMID 30257507 DOI: 10.3390/cancers10100353  0.043
2016 Lin TC, Su CY, Wu PY, Lai TC, Pan WA, Jan YH, Chang YC, Yeh CT, Chen CL, Ger LP, Chang HT, Yang CJ, Huang MS, Liu YP, Lin YF, ... ... Hsiao M, et al. The nucleolar protein NIFK promotes cancer progression via CK1α/β-catenin in metastasis and Ki-67-dependent cell proliferation. Elife. 5. PMID 26984280 DOI: 10.7554/eLife.11288  0.043
2015 Chen CW, Lee PH, Chan YC, Hsiao M, Chen CH, Wu PC, Wu PR, Tsai DP, Tu D, Chen X, Liu RS. Plasmon-induced hyperthermia: hybrid upconversion NaYF:Yb/Er and gold nanomaterials for oral cancer photothermal therapy. Journal of Materials Chemistry. B. 3: 8293-8302. PMID 32262884 DOI: 10.1039/c5tb01393c  0.042
2022 Pan KF, Liu YC, Hsiao M, Cheng TY, Hua KT. Naa10p promotes cell invasiveness of esophageal cancer by coordinating the c-Myc and PAI1 regulatory axis. Cell Death & Disease. 13: 995. PMID 36433943 DOI: 10.1038/s41419-022-05441-0  0.042
2011 Cheng PW, Lu PJ, Chen SR, Ho WY, Cheng WH, Hong LZ, Yeh TC, Sun GC, Wang LL, Hsiao M, Tseng CJ. Central nicotinic acetylcholine receptor involved in Ca(2+) -calmodulin-endothelial nitric oxide synthase pathway modulated hypotensive effects. British Journal of Pharmacology. 163: 1203-13. PMID 21091651 DOI: 10.1111/j.1476-5381.2010.01124.x  0.042
2021 Li CH, Chang YC, Chan MH, Yang YF, Liang SM, Hsiao M. Galectins in Cancer and the Microenvironment: Functional Roles, Therapeutic Developments, and Perspectives. Biomedicines. 9. PMID 34572346 DOI: 10.3390/biomedicines9091159  0.042
2018 Chang JH, Cheng CW, Yang YC, Chen WS, Hung WY, Chow JM, Chen PS, Hsiao M, Lee WJ, Chien MH. Downregulating CD26/DPPIV by apigenin modulates the interplay between Akt and Snail/Slug signaling to restrain metastasis of lung cancer with multiple EGFR statuses. Journal of Experimental & Clinical Cancer Research : Cr. 37: 199. PMID 30134935 DOI: 10.1186/s13046-018-0869-1  0.042
2011 Lo CW, Chen MW, Hsiao M, Wang S, Chen CA, Hsiao SM, Chang JS, Lai TC, Rose-John S, Kuo ML, Wei LH. IL-6 trans-signaling in formation and progression of malignant ascites in ovarian cancer. Cancer Research. 71: 424-34. PMID 21123455 DOI: 10.1158/0008-5472.CAN-10-1496  0.042
2003 Chen HC, Chu RY, Hsu PN, Hsu PI, Lu JY, Lai KH, Tseng HH, Chou NH, Huang MS, Tseng CJ, Hsiao M. Loss of E-cadherin expression correlates with poor differentiation and invasion into adjacent organs in gastric adenocarcinomas. Cancer Letters. 201: 97-106. PMID 14580691 DOI: 10.1016/j.canlet.2003.07.007  0.042
2013 Cheng HC, Liu YP, Shan YS, Huang CY, Lin FC, Lin LC, Lee L, Tsai CH, Hsiao M, Lu PJ. Loss of RUNX3 increases osteopontin expression and promotes cell migration in gastric cancer. Carcinogenesis. 34: 2452-9. PMID 23774402 DOI: 10.1093/carcin/bgt218  0.042
2012 Short NJ, Abbott AL, Hsiao MS, Fox EA. Temporal analysis of fingerprint impressions 2012 Ieee 5th International Conference On Biometrics: Theory, Applications and Systems, Btas 2012. 359-364. DOI: 10.1109/BTAS.2012.6374601  0.042
2020 Chan YC, Chang YC, Chuang HH, Yang YC, Lin YF, Huang MS, Hsiao M, Yang CJ, Hua KT. Overexpression of PSAT1 promotes metastasis of lung adenocarcinoma by suppressing the IRF1-IFNγ axis. Oncogene. PMID 31988456 DOI: 10.1038/s41388-020-1160-4  0.042
2009 Tsai WC, Hsu PW, Lai TC, Chau GY, Lin CW, Chen CM, Lin CD, Liao YL, Wang JL, Chau YP, Hsu MT, Hsiao M, Huang HD, Tsou AP. MicroRNA-122, a tumor suppressor microRNA that regulates intrahepatic metastasis of hepatocellular carcinoma. Hepatology (Baltimore, Md.). 49: 1571-82. PMID 19296470 DOI: 10.1002/hep.22806  0.042
2022 Lai TC, Lee YL, Lee WJ, Hung WY, Cheng GZ, Chen JQ, Hsiao M, Chien MH, Chang JH. Synergistic Tumor Inhibition via Energy Elimination by Repurposing Penfluridol and 2-Deoxy-D-Glucose in Lung Cancer. Cancers. 14. PMID 35681729 DOI: 10.3390/cancers14112750  0.042
2008 Ho WY, Lu PJ, Hsiao M, Hwang HR, Tseng YC, Yen MH, Tseng CJ. Adenosine modulates cardiovascular functions through activation of extracellular signal-regulated kinases 1 and 2 and endothelial nitric oxide synthase in the nucleus tractus solitarii of rats. Circulation. 117: 773-80. PMID 18227383 DOI: 10.1161/CIRCULATIONAHA.107.746032  0.042
2017 Chiou J, Su CY, Jan YH, Yang CJ, Huang MS, Yu YL, Hsiao M. Decrease of FSTL1-BMP4-Smad signaling predicts poor prognosis in lung adenocarcinoma but not in squamous cell carcinoma. Scientific Reports. 7: 9830. PMID 28852126 DOI: 10.1038/s41598-017-10366-2  0.042
2017 Lee YC, Su CY, Lin YF, Lin CM, Fang CY, Lin YK, Hsiao M, Chen CL. Lysosomal acid phosphatase 2 is an unfavorable prognostic factor but is associated with better survival in stage II colorectal cancer patients receiving chemotherapy. Oncotarget. PMID 28076332 DOI: 10.18632/oncotarget.14552  0.041
2018 Kuo WY, Hsu HJ, Wu CY, Chen HS, Chou YC, Tsou YL, Peng HP, Jian JW, Yu CM, Chiu YK, Chen IC, Tung CP, Hsiao M, Lin CL, Wang YA, et al. Antibody-drug conjugates with HER2-targeting antibodies from synthetic antibody libraries are highly potent against HER2-positive human gastric tumor in xenograft models. Mabs. PMID 30365359 DOI: 10.1080/19420862.2018.1541370  0.041
2012 Yusoff SFM, Hsiao MS, Schacher FH, Winnik MA, Manners I. Formation of lenticular platelet micelles via the interplay of crystallization and chain stretching: Solution self-assembly of poly(ferrocenyldimethylsilane)- Block -poly(2-vinylpyridine) with a crystallizable core-forming metalloblock Macromolecules. 45: 3883-3891. DOI: 10.1021/Ma2027726  0.041
2017 Wang CK, Yang SC, Hsu SC, Chang FP, Lin YT, Chen SF, Cheng CL, Hsiao M, Lu FL, Lu J. CHAC2 is essential for self-renewal and Glutathione maintenance in human embryonic stem cells. Free Radical Biology & Medicine. PMID 29054545 DOI: 10.1016/j.freeradbiomed.2017.10.345  0.041
2022 Chan MH, Huang WT, Satpathy A, Su TY, Hsiao M, Liu RS. Progress and Viewpoints of Multifunctional Composite Nanomaterials for Glioblastoma Theranostics. Pharmaceutics. 14. PMID 35214188 DOI: 10.3390/pharmaceutics14020456  0.041
1999 Chen HL, Hsiao MS. Self-assembled mesomorphic complexes of branched poly(ethylenimine) and dodecylbenzenesulfonic acid Macromolecules. 32: 2967-2973. DOI: 10.1021/Ma981417G  0.041
2019 Yang SC, Liu JJ, Wang CK, Lin YT, Tsai SY, Chen WJ, Huang WK, Tu PA, Lin YC, Chang CF, Cheng CL, Lin H, Lai CY, Lin CY, Lee YH, ... ... Hsiao M, et al. Down-regulation of ATF1 leads to early neuroectoderm differentiation of human embryonic stem cells by increasing the expression level of SOX2. Faseb Journal : Official Publication of the Federation of American Societies For Experimental Biology. fj201800220RR. PMID 31242772 DOI: 10.1096/fj.201800220RR  0.04
2020 Chang YX, Lin YF, Chen CL, Huang MS, Hsiao M, Liang PH. Chaperonin-Containing TCP-1 Promotes Cancer Chemoresistance and Metastasis through the AKT-GSK3β-β-Catenin and XIAP-Survivin Pathways. Cancers. 12. PMID 33371405 DOI: 10.3390/cancers12123865  0.04
2022 Lin EH, Hsu JW, Lee TF, Hsu CF, Lin TH, Jan YH, Chang HY, Cheng CM, Hsu HJ, Chen WW, Chen BH, Tsai HF, Li JJ, Huang CY, Chuang SH, ... ... Hsiao M, et al. Targeting cancer stemness mediated by BMI1 and MCL1 for non-small cell lung cancer treatment. Journal of Cellular and Molecular Medicine. PMID 35794816 DOI: 10.1111/jcmm.17453  0.04
2010 Su JL, Chiou J, Tang CH, Zhao M, Tsai CH, Chen PS, Chang YW, Chien MH, Peng CY, Hsiao M, Kuo ML, Yen ML. CYR61 regulates BMP-2-dependent osteoblast differentiation through the {alpha}v{beta}3 integrin/integrin-linked kinase/ERK pathway. The Journal of Biological Chemistry. 285: 31325-36. PMID 20675382 DOI: 10.1074/jbc.M109.087122  0.04
2022 Chan MH, Huang WT, Chen KC, Su TY, Chan YC, Hsiao M, Liu RS. The optical research progress of nanophosphors composed of transition elements in the fourth period of near-infrared windows I and II for deep-tissue theranostics. Nanoscale. 14: 7123-7136. PMID 35353112 DOI: 10.1039/d2nr00343k  0.04
2014 Chen CK, Yang CY, Hua KT, Hua KT, Ho MC, Johansson G, Jeng YM, Chen CN, Chen MW, Lee WJ, Su JL, Lai TC, Chou CC, Ho BC, Chang CF, ... ... Hsiao M, et al. Leukocyte cell-derived chemotaxin 2 antagonizes MET receptor activation to suppress hepatocellular carcinoma vascular invasion by protein tyrosine phosphatase 1B recruitment. Hepatology (Baltimore, Md.). 59: 974-85. PMID 24114941 DOI: 10.1002/hep.26738  0.04
2018 Liu HW, Su YK, Bamodu OA, Hueng DY, Lee WH, Huang CC, Deng L, Hsiao M, Chien MH, Yeh CT, Lin CM. The Disruption of the β-Catenin/TCF-1/STAT3 Signaling Axis by 4-Acetylantroquinonol B Inhibits the Tumorigenesis and Cancer Stem-Cell-Like Properties of Glioblastoma Cells, In Vitro and In Vivo. Cancers. 10. PMID 30563094 DOI: 10.3390/cancers10120491  0.04
2015 Chen HA, Chang YW, Tseng CF, Chiu CF, Hong CC, Wang W, Wang MY, Hsiao M, Ma JT, Chen CH, Jiang SS, Wu CH, Hung MC, Huang MT, Su JL. E1A-mediated inhibition of HSPA5 suppresses cell migration and invasion in triple-negative breast cancer. Annals of Surgical Oncology. 22: 889-98. PMID 25212833 DOI: 10.1245/s10434-014-4061-3  0.04
2016 Hou KL, Lin SK, Chao LH, Hsiang-Hua Lai E, Chang CC, Shun CT, Lu WY, Wang JH, Hsiao M, Hong CY, Kok SH. Sirtuin 6 suppresses hypoxia-induced inflammatory response in human osteoblasts via inhibition of reactive oxygen species production and glycolysis-A therapeutic implication in inflammatory bone resorption. Biofactors (Oxford, England). PMID 27534902 DOI: 10.1002/biof.1320  0.04
2022 Chung SY, Chang YC, Hsu DS, Hung YC, Lu ML, Hung YP, Chiang NJ, Yeh CN, Hsiao M, Soong J, Su Y, Chen MH. A G-quadruplex stabilizer, CX-5461 combined with two immune checkpoint inhibitors enhances in vivo therapeutic efficacy by increasing PD-L1 expression in colorectal cancer. Neoplasia (New York, N.Y.). 35: 100856. PMID 36442297 DOI: 10.1016/j.neo.2022.100856  0.04
2015 Cheung SK, Chuang PK, Huang HW, Hwang-Verslues WW, Cho CH, Yang WB, Shen CN, Hsiao M, Hsu TL, Chang CF, Wong CH. Stage-specific embryonic antigen-3 (SSEA-3) and β3GalT5 are cancer specific and significant markers for breast cancer stem cells. Proceedings of the National Academy of Sciences of the United States of America. PMID 26677875 DOI: 10.1073/pnas.1522602113  0.039
2023 Wen YC, Tram VTN, Chen WH, Li CH, Yeh HL, Thuy Dung PV, Jiang KC, Li HR, Huang J, Hsiao M, Chen WY, Liu YN. CHRM4/AKT/MYCN upregulates interferon alpha-17 in the tumor microenvironment to promote neuroendocrine differentiation of prostate cancer. Cell Death & Disease. 14: 304. PMID 37142586 DOI: 10.1038/s41419-023-05836-7  0.039
2011 Parab HJ, Huang JH, Lai TC, Jan YH, Liu RS, Wang JL, Hsiao M, Chen CH, Hwu YK, Tsai DP, Chuang SY, Pang JHS. Biocompatible transferrin-conjugated sodium hexametaphosphate-stabilized gold nanoparticles: Synthesis, characterization, cytotoxicity and cellular uptake Nanotechnology. 22. PMID 21896977 DOI: 10.1088/0957-4484/22/39/395706  0.039
2021 Tsai MT, Sun YS, Keerthi M, Panda AK, Dhawan U, Chang YH, Lai CF, Hsiao M, Wang HY, Chung RJ. Oral Cancer Theranostic Application of FeAu Bimetallic Nanoparticles Conjugated with MMP-1 Antibody. Nanomaterials (Basel, Switzerland). 12. PMID 35010011 DOI: 10.3390/nano12010061  0.039
2007 Hsu YC, Hsiao M, Chien YW, Lee WR. Exogenous nitric oxide stimulated collagen type I expression and TGF-beta1 production in keloid fibroblasts by a cGMP-dependent manner. Nitric Oxide : Biology and Chemistry / Official Journal of the Nitric Oxide Society. 16: 258-65. PMID 17052928 DOI: 10.1016/j.niox.2006.09.002  0.039
2014 Lee MC, Kuo YY, Chou WC, Hou HA, Hsiao M, Tien HF. Gfi-1 is the transcriptional repressor of SOCS1 in acute myeloid leukemia cells. Journal of Leukocyte Biology. 95: 105-15. PMID 24018353 DOI: 10.1189/jlb.0912475  0.039
2017 Chang YC, Su CY, Chen MH, Chen WS, Chen CL, Hsiao M. Secretory RAB GTPase 3C modulates IL6-STAT3 pathway to promote colon cancer metastasis and is associated with poor prognosis. Molecular Cancer. 16: 135. PMID 28784136 DOI: 10.1186/s12943-017-0687-7  0.038
2015 Lee WJ, Chien MH, Chow JM, Chang JL, Wen YC, Lin YW, Cheng CW, Lai GM, Hsiao M, Lee LM. Nonautophagic cytoplasmic vacuolation death induction in human PC-3M prostate cancer by curcumin through reactive oxygen species -mediated endoplasmic reticulum stress. Scientific Reports. 5: 10420. PMID 26013662 DOI: 10.1038/srep10420  0.038
2013 Cheng WH, Ho WY, Chang CF, Lu PJ, Cheng PW, Yeh TC, Hong LZ, Sun GC, Hsiao M, Tseng CJ. Simvastatin induces a central hypotensive effect via Ras-mediated signalling to cause eNOS up-regulation. British Journal of Pharmacology. 170: 847-58. PMID 23889671 DOI: 10.1111/bph.12317  0.038
2013 Chen MH, Jan YH, Chang PM, Chuang YJ, Yeh YC, Lei HJ, Hsiao M, Huang SF, Huang CY, Chau GY. Expression of GOLM1 correlates with prognosis in human hepatocellular carcinoma. Annals of Surgical Oncology. 20: S616-24. PMID 23838921 DOI: 10.1245/S10434-013-3101-8  0.037
2016 Peng HY, Jiang SS, Hsiao JR, Hsiao M, Hsu YM, Wu GH, Chang WM, Chang JY, Jin SC, Shiah SG. IL-8 induces miR-424-5p expression and modulates SOCS2/STAT5 signaling pathway in oral squamous cell carcinoma. Molecular Oncology. PMID 27038552 DOI: 10.1016/j.molonc.2016.03.001  0.037
2019 Chiou J, Chang YC, Jan YH, Tsai HF, Yang CJ, Huang MS, Yu YL, Hsiao M. Overexpression of BZW1 is an independent poor prognosis marker and its down-regulation suppresses lung adenocarcinoma metastasis. Scientific Reports. 9: 14624. PMID 31601833 DOI: 10.1038/s41598-019-50874-x  0.037
2015 Chen JT, Chen CH, Ku KL, Hsiao M, Chiang CP, Hsu TL, Chen MH, Wong CH. Glycoprotein B7-H3 overexpression and aberrant glycosylation in oral cancer and immune response. Proceedings of the National Academy of Sciences of the United States of America. PMID 26438868 DOI: 10.1073/Pnas.1516991112  0.037
2006 Hsu YC, Hsiao M, Wang LF, Chien YW, Lee WR. Nitric oxide produced by iNOS is associated with collagen synthesis in keloid scar formation. Nitric Oxide : Biology and Chemistry / Official Journal of the Nitric Oxide Society. 14: 327-34. PMID 16517189 DOI: 10.1016/j.niox.2006.01.006  0.037
2017 Chang YC, Chan YC, Chang WM, Lin YF, Yang CJ, Su CY, Huang MS, Wu ATH, Hsiao M. Feedback Regulation of ALDOA Activates the HIF-1α/MMP9 Axis to Promote Lung Cancer Progression. Cancer Letters. PMID 28610954 DOI: 10.1016/j.canlet.2017.06.001  0.037
2023 Ho CY, Sun GC, Lin YT, Wong TY, Hsiao M, Tseng CJ, Cheng PW. Microglial activation and toll-like receptor 4-Dependent regulation of angiotensin II type I receptor-mu-opioid receptor 1 heterodimerization and hypertension in fructose-fed rats. European Journal of Pharmacology. 962: 176171. PMID 37996009 DOI: 10.1016/j.ejphar.2023.176171  0.036
2013 Jorgensen AY, Waterman BR, Hsiao MS, Belmont PJ. Functional outcomes of hip arthroplasty in active duty military service members. Journal of Surgical Orthopaedic Advances. 22: 16-22. PMID 23449050 DOI: 10.3113/JSOA.2013.0016  0.036
2017 Chen ST, Li FJ, Hsu TY, Liang SM, Yeh YC, Liao WY, Chou TY, Chen NJ, Hsiao M, Yang WB, Hsieh SL. CLEC5A is a critical receptor in innate immunity against Listeria infection. Nature Communications. 8: 299. PMID 28824166 DOI: 10.1038/s41467-017-00356-3  0.036
2020 Wen YC, Lin YW, Chu CY, Yang YC, Yang SF, Liu YF, Hsiao M, Lee WJ, Chien MH. Melatonin-triggered post-transcriptional and post-translational modifications of ADAMTS1 coordinately retard tumorigenesis and metastasis of renal cell carcinoma. Journal of Pineal Research. e12668. PMID 32408377 DOI: 10.1111/jpi.12668  0.036
2014 Wei MF, Chen MW, Chen KC, Lou PJ, Lin SY, Hung SC, Hsiao M, Yao CJ, Shieh MJ. Autophagy promotes resistance to photodynamic therapy-induced apoptosis selectively in colorectal cancer stem-like cells. Autophagy. 10: 1179-92. PMID 24905352 DOI: 10.4161/Auto.28679  0.035
2016 Yeh SC, Wang PY, Lou YW, Khoo KH, Hsiao M, Hsu TL, Wong CH. Glycolipid GD3 and GD3 synthase are key drivers for glioblastoma stem cells and tumorigenicity. Proceedings of the National Academy of Sciences of the United States of America. PMID 27143722 DOI: 10.1073/Pnas.1604721113  0.035
2016 Chan YC, Chen CW, Chan MH, Chang YC, Chang WM, Chi LH, Yu HM, Lin YF, Tsai DP, Liu RS, Hsiao M. MMP2-sensing up-conversion nanoparticle for fluorescence biosensing in head and neck cancer cells. Biosensors & Bioelectronics. 80: 131-139. PMID 26820361 DOI: 10.1016/j.bios.2016.01.049  0.035
2014 Hua KT, Wang MY, Chen MW, Wei LH, Chen CK, Ko CH, Jeng YM, Sung PL, Jan YH, Hsiao M, Kuo ML, Yen ML. The H3K9 methyltransferase G9a is a marker of aggressive ovarian cancer that promotes peritoneal metastasis. Molecular Cancer. 13: 189. PMID 25115793 DOI: 10.1186/1476-4598-13-189  0.035
2016 Kuo TC, Chen CK, Hua KT, Yu P, Lee WJ, Chen MW, Jeng YM, Chien MH, Kuo KT, Hsiao M, Kuo ML. Glutaminase 2 stabilizes Dicer to repress Snail and metastasis in hepatocellular carcinoma cells. Cancer Letters. PMID 27725225 DOI: 10.1016/j.canlet.2016.10.012  0.035
2018 Bamodu OA, Yang CK, Cheng WH, Tzeng DTW, Kuo KT, Huang CC, Deng L, Hsiao M, Lee WH, Yeh CT. 4-Acetyl-Antroquinonol B Suppresses SOD2-Enhanced Cancer Stem Cell-Like Phenotypes and Chemoresistance of Colorectal Cancer Cells by Inducing hsa-miR-324 re-Expression. Cancers. 10. PMID 30103475 DOI: 10.3390/cancers10080269  0.035
2020 Chan MH, Chan YC, Liu RS, Hsiao M. A selective drug delivery system based on phospholipid-type nanobubbles for lung cancer therapy. Nanomedicine (London, England). PMID 33112189 DOI: 10.2217/nnm-2020-0273  0.035
2018 Chan YC, Chan MH, Chen CW, Liu RS, Hsiao M, Tsai DP. Erratum: Near-Infrared-Activated Fluorescence Resonance Energy Transfer-Based Nanocomposite to Sense MMP2-Overexpressing Oral Cancer Cells. Acs Omega. 3: 2444. PMID 31458539 DOI: 10.1021/acsomega.8b00254  0.035
2014 Hong CC, Chen PS, Chiou J, Chiu CF, Yang CY, Hsiao M, Chang YW, Yu YH, Hung MC, Hsu NW, Shiah SG, Hsu NY, Su JL. miR326 maturation is crucial for VEGF-C-driven cortactin expression and esophageal cancer progression. Cancer Research. 74: 6280-90. PMID 25205106 DOI: 10.1158/0008-5472.CAN-14-0524  0.035
2015 Lin CS, Lin YC, Adebayo BO, Wu A, Chen JH, Peng YJ, Cheng MF, Lee WH, Hsiao M, Chao TY, Yeh CT. Silencing JARID1B suppresses oncogenicity, stemness and increases radiation sensitivity in human oral carcinoma. Cancer Letters. PMID 26184998 DOI: 10.1016/j.canlet.2015.07.003  0.035
2020 Yang YC, Pan KF, Lee WJ, Chang JH, Tan P, Gu CC, Chang WM, Yang SF, Hsiao M, Hua KT, Chien MH. Circulating proteoglycan endocan mediates EGFR-driven progression of non-small cell lung cancer. Cancer Research. PMID 32561533 DOI: 10.1158/0008-5472.CAN-20-0005  0.035
2000 Hsiao MS, Chen HL, Liaw DJ. Mesomorphic blend based on the solid-state complexes of polymers with surfactants Macromolecules. 33: 221-224. DOI: 10.1021/Ma991111P  0.034
2015 Chang YW, Chiu CF, Lee KY, Hong CC, Wang YY, Cheng CC, Jan YH, Huang MS, Hsiao M, Ma JT, Su JL. CARMA3 Represses Metastasis Suppressor NME2 to Promote Lung Cancer Stemness and Metastasis. American Journal of Respiratory and Critical Care Medicine. 192: 64-75. PMID 25906011 DOI: 10.1164/rccm.201411-1957OC  0.034
2017 Li HY, Liang JL, Kuo YL, Lee HH, Calkins MJ, Chang HT, Lin FC, Chen YC, Hsu TI, Hsiao M, Ger LP, Lu PJ. miR-105/93-3p promotes chemoresistance and circulating miR-105/93-3p acts as a diagnostic biomarker for triple negative breast cancer. Breast Cancer Research : Bcr. 19: 133. PMID 29258605 DOI: 10.1186/S13058-017-0918-2  0.034
2011 Cameron KL, Hsiao MS, Owens BD, Burks R, Svoboda SJ. Incidence of physician-diagnosed osteoarthritis among active duty United States military service members. Arthritis and Rheumatism. 63: 2974-82. PMID 21717422 DOI: 10.1002/art.30498  0.034
2020 Lin CH, Hsu TI, Chiou PY, Hsiao M, Wang WC, Chen YC, Lin JT, Wang JY, Lin PC, Lin FC, Tseng YK, Cheng HC, Chen CL, Lu PJ. Downregulation of STK4 promotes colon cancer invasion/migration through blocking β-catenin degradation. Molecular Oncology. PMID 32741119 DOI: 10.1002/1878-0261.12771  0.034
1998 Chen HL, Hsiao MS. Morphological structure induced by combined crystallization and liquid-liquid demixing in poly(ethylene terephthalate)/poly(ether imide) blends Macromolecules. 31: 6579-6584. DOI: 10.1021/Ma980700C  0.034
2013 Liu YP, Liao WC, Ger LP, Chen JC, Hsu TI, Lee YC, Chang HT, Chen YC, Jan YH, Lee KH, Zeng YH, Hsiao M, Lu PJ. Carboxyl-terminal modulator protein positively regulates Akt phosphorylation and acts as an oncogenic driver in breast cancer. Cancer Research. 73: 6194-205. PMID 23943800 DOI: 10.1158/0008-5472.CAN-13-0518  0.034
2019 Pai S, Bamodu OA, Lin YK, Lin CS, Chu PY, Chien MH, Wang LS, Hsiao M, Yeh CT, Tsai JT. CD47-SIRPα Signaling Induces Epithelial-Mesenchymal Transition and Cancer Stemness and Links to a Poor Prognosis in Patients with Oral Squamous Cell Carcinoma. Cells. 8. PMID 31861233 DOI: 10.3390/cells8121658  0.034
2020 Pan KF, Lee WJ, Chou CC, Yang YC, Chang YC, Chien MH, Hsiao M, Hua KT. Direct interaction of β-catenin with nuclear ESM1 supports stemness of metastatic prostate cancer. The Embo Journal. e105450. PMID 33347625 DOI: 10.15252/embj.2020105450  0.034
2018 Wang PS, Chou CH, Lin CH, Yao YC, Cheng HC, Li HY, Chuang YC, Yang CN, Ger LP, Chen YC, Lin FC, Shen TL, Hsiao M, Lu PJ. A novel long non-coding RNA linc-ZNF469-3 promotes lung metastasis through miR-574-5p-ZEB1 axis in triple negative breast cancer. Oncogene. PMID 29755127 DOI: 10.1038/s41388-018-0293-1  0.034
2020 Hung CC, Zhen YY, Niu SW, Hsu JF, Lee TH, Chuang HH, Wang PH, Lee SC, Lin PC, Chiu YW, Wu CH, Huang MS, Hsiao M, Chen HC, Yang CJ. Lung Cancer Cell-Derived Secretome Mediates Paraneoplastic Inflammation and Fibrosis in Kidney in Mice. Cancers. 12. PMID 33260558 DOI: 10.3390/cancers12123561  0.034
2016 Chien MH, Lee TH, Lee WJ, Yeh YH, Li TK, Wang PC, Chen JJ, Chow JM, Lin YW, Hsiao M, Wang SW, Hua KT. Trichodermin induces c-Jun N-terminal kinase-dependent apoptosis caused by mitotic arrest and DNA damage in human p53-mutated pancreatic cancer cells and xenografts. Cancer Letters. PMID 27965041 DOI: 10.1016/j.canlet.2016.12.002  0.033
2008 Hsiao M, Lu PJ, Huang HN, Lo WC, Ho WY, Lai TC, Chiang HT, Tseng CJ. Defective phosphatidylinositol 3-kinase signaling in central control of cardiovascular effects in the nucleus tractus solitarii of spontaneously hypertensive rats. Hypertension Research : Official Journal of the Japanese Society of Hypertension. 31: 1209-18. PMID 18716370 DOI: 10.1291/hypres.31.1209  0.033
2013 Lin YF, Lai TC, Chang CK, Chen CL, Huang MS, Yang CJ, Liu HG, Dong JJ, Chou YA, Teng KH, Chen SH, Tian WT, Jan YH, Hsiao M, Liang PH. Targeting the XIAP/caspase-7 complex selectively kills caspase-3-deficient malignancies. The Journal of Clinical Investigation. 123: 3861-75. PMID 23979166 DOI: 10.1172/JCI67951  0.033
2021 Chen WY, Wen YC, Lin SR, Yeh HL, Jiang KC, Chen WH, Lin YS, Zhang Q, Liew PL, Hsiao M, Huang J, Liu YN. Nerve growth factor interacts with CHRM4 and promotes neuroendocrine differentiation of prostate cancer and castration resistance. Communications Biology. 4: 22. PMID 33398073 DOI: 10.1038/s42003-020-01549-1  0.033
2018 Chuang HH, Huang MS, Wang PH, Liu YP, Hsiao M, Yang CJ. Pin1 Is Involved in HDAC6-mediated Cancer Cell Motility. International Journal of Medical Sciences. 15: 1573-1581. PMID 30443180 DOI: 10.7150/ijms.27426  0.033
2023 Chan MH, Chen BG, Li CH, Huang WT, Su TY, Yin L, Hsiao M, Liu RS. Amplification of oxidative stress by lipid surface-coated single-atom Au nanozymes for oral cancer photodynamic therapy. Nanoscale. PMID 37721121 DOI: 10.1039/d3nr02088f  0.033
2017 Lin YT, Wang CK, Yang SC, Hsu SC, Lin H, Chang FP, Kuo TC, Shen CN, Chiang PM, Hsiao M, Lu FL, Lu J. Elimination of undifferentiated human embryonic stem cells by cardiac glycosides. Scientific Reports. 7: 5289. PMID 28706279 DOI: 10.1038/s41598-017-05616-2  0.033
2014 Lee KH, Lin FC, Hsu TI, Lin JT, Guo JH, Tsai CH, Lee YC, Lee YC, Chen CL, Hsiao M, Lu PJ. MicroRNA-296-5p (miR-296-5p) functions as a tumor suppressor in prostate cancer by directly targeting Pin1. Biochimica Et Biophysica Acta. 1843: 2055-66. PMID 24915000 DOI: 10.1016/j.bbamcr.2014.06.001  0.033
2016 Cheng PW, Lee HC, Lu PJ, Chen HH, Lai CC, Sun GC, Yeh TC, Hsiao M, Lin YT, Liu CP, Tseng CJ. Resveratrol Inhibition of Rac1-Derived Reactive Oxygen Species by AMPK Decreases Blood Pressure in a Fructose-Induced Rat Model of Hypertension. Scientific Reports. 6: 25342. PMID 27138844 DOI: 10.1038/srep25342  0.033
2022 Li CH, Chan MH, Chang YC, Hsiao M. The CHST11 gene is linked to lung cancer and pulmonary fibrosis. The Journal of Gene Medicine. e3451. PMID 36181245 DOI: 10.1002/jgm.3451  0.033
2021 Wen YC, Liu CL, Yeh HL, Chen WH, Jiang KC, Tram VTN, Hsiao M, Huang J, Chen WY, Liu YN. PCK1 regulates neuroendocrine differentiation in a positive feedback loop of LIF/ZBTB46 signalling in castration-resistant prostate cancer. British Journal of Cancer. PMID 34815524 DOI: 10.1038/s41416-021-01631-3  0.032
2008 Hsu PI, Huang MS, Chen HC, Hsu PN, Lai TC, Wang JL, Lo GH, Lai KH, Tseng CJ, Hsiao M. The significance of ANXA7 expression and its correlation with poor cellular differentiation and enhanced metastatic potential of gastric cancer. Journal of Surgical Oncology. 97: 609-14. PMID 18449914 DOI: 10.1002/jso.21046  0.032
2015 Chen HH, Lu PJ, Chen BR, Hsiao M, Ho WY, Tseng CJ. Heme oxygenase-1 ameliorates kidney ischemia-reperfusion injury in mice through extracellular signal-regulated kinase 1/2-enhanced tubular epithelium proliferation. Biochimica Et Biophysica Acta. 1852: 2195-201. PMID 26232688 DOI: 10.1016/j.bbadis.2015.07.018  0.032
2021 Yang YC, Chien MH, Lai TC, Tung MC, Jan YH, Chang WM, Jung SM, Chen MH, Yeh CN, Hsiao M. Proteomics-based identification of TMED9 is linked to vascular invasion and poor prognoses in patients with hepatocellular carcinoma. Journal of Biomedical Science. 28: 29. PMID 33888099 DOI: 10.1186/s12929-021-00727-5  0.032
2011 Parada SA, Hsiao MS, Puttler EG, Arrington ED. Unique distal clavicular fracture in an active-duty military population Military Medicine. 176: 236-239.  0.032
2013 Hu YF, Chen TC, Chau GY, Yang TL, Liu CJ, Chen MH, Chang PM, Chen TJ, Hsiao M, Huang CY, Chen SA. Baseline hypertension: new insight into the potential predictors of survival in patients with hepatocellular carcinoma. International Journal of Cardiology. 168: 2979-81. PMID 23659881 DOI: 10.1016/J.Ijcard.2013.04.106  0.032
2022 Tung MC, Lin YW, Lee WJ, Wen YC, Liu YC, Chen JQ, Hsiao M, Yang YC, Chien MH. Targeting DRD2 by the antipsychotic drug, penfluridol, retards growth of renal cell carcinoma via inducing stemness inhibition and autophagy-mediated apoptosis. Cell Death & Disease. 13: 400. PMID 35461314 DOI: 10.1038/s41419-022-04828-3  0.032
2015 Lee WJ, Hsiao M, Chang JL, Yang SF, Tseng TH, Cheng CW, Chow JM, Lin KH, Lin YW, Liu CC, Lee LM, Chien MH. Quercetin induces mitochondrial-derived apoptosis via reactive oxygen species-mediated ERK activation in HL-60 leukemia cells and xenograft. Archives of Toxicology. 89: 1103-17. PMID 25138434 DOI: 10.1007/s00204-014-1300-0  0.032
2017 Cheng PW, Lin YT, Ho WY, Lu PJ, Chen HH, Lai CC, Sun GC, Yeh TC, Hsiao M, Tseng CJ, Liu CP. Fructose induced neurogenic hypertension mediated by overactivation of p38 MAPK to impair insulin signaling transduction caused central insulin resistance. Free Radical Biology & Medicine. PMID 28754499 DOI: 10.1016/j.freeradbiomed.2017.07.022  0.031
2016 Chen CK, Yu WH, Cheng TY, Chen MW, Su CY, Yang YC, Kuo TC, Lin MT, Huang YC, Hsiao M, Hua KT, Hung MC, Kuo ML. Inhibition of VEGF165/VEGFR2-dependent signaling by LECT2 suppresses hepatocellular carcinoma angiogenesis. Scientific Reports. 6: 31398. PMID 27507763 DOI: 10.1038/srep31398  0.031
2017 Lin YC, Hwu Y, Huang GS, Hsiao M, Lee TT, Yang SM, Lee TK, Chen NY, Yang SS, Chen A, Ka SM. Differential synchrotron X-ray imaging markers based on the renal microvasculature for tubulointerstitial lesions and glomerulopathy. Scientific Reports. 7: 3488. PMID 28615647 DOI: 10.1038/s41598-017-03677-x  0.031
2013 Kok SH, Lin LD, Hou KL, Hong CY, Chang CC, Hsiao M, Wang JH, Lai EH, Lin SK. Simvastatin inhibits cysteine-rich protein 61 expression in rheumatoid arthritis synovial fibroblasts through the regulation of sirtuin-1/FoxO3a signaling. Arthritis and Rheumatism. 65: 639-49. PMID 23239110 DOI: 10.1002/art.37807  0.031
2021 Li CH, Hsu TI, Chang YC, Chan MH, Lu PJ, Hsiao M. Stationed or Relocating: The Seesawing EMT/MET Determinants from Embryonic Development to Cancer Metastasis. Biomedicines. 9. PMID 34572451 DOI: 10.3390/biomedicines9091265  0.031
2003 Lu JY, Chen HC, Chu RY, Lin TC, Hsu PI, Huang MS, Tseng CJ, Hsiao M. Establishment of red fluorescent protein-tagged HeLa tumor metastasis models: determination of DsRed2 insertion effects and comparison of metastatic patterns after subcutaneous, intraperitoneal, or intravenous injection. Clinical & Experimental Metastasis. 20: 121-33. PMID 12705633 DOI: 10.1023/A:1022645116030  0.03
2011 Kok SH, Hou KL, Hong CY, Wang JS, Liang PC, Chang CC, Hsiao M, Yang H, Lai EH, Lin SK. Simvastatin inhibits cytokine-stimulated Cyr61 expression in osteoblastic cells: a therapeutic benefit for arthritis. Arthritis and Rheumatism. 63: 1010-20. PMID 20191585 DOI: 10.1002/art.27433  0.03
2017 Chang WM, Lin YF, Su CY, Peng HY, Chang YC, Hsiao JR, Chen CL, Chang JY, Shieh YS, Hsiao M, Shiah SG. Parathyroid Hormone-Like Hormone is a Poor Prognosis Marker of Head and Neck Cancer and Promotes Cell Growth via RUNX2 Regulation. Scientific Reports. 7: 41131. PMID 28120940 DOI: 10.1038/srep41131  0.029
2023 Chang YC, Chan MH, Yang YF, Li CH, Hsiao M. Glucose transporter 4: Insulin response mastermind, glycolysis catalyst and treatment direction for cancer progression. Cancer Letters. 563: 216179. PMID 37061122 DOI: 10.1016/j.canlet.2023.216179  0.029
2016 Bamodu OA, Huang WC, Lee WH, Wu A, Wang LS, Hsiao M, Yeh CT, Chao TY. Aberrant KDM5B expression promotes aggressive breast cancer through MALAT1 overexpression and downregulation of hsa-miR-448. Bmc Cancer. 16: 160. PMID 26917489 DOI: 10.1186/s12885-016-2108-5  0.029
2018 Yang YC, Chien MH, Liu HY, Chang YC, Chen CK, Lee WJ, Kuo TC, Hsiao M, Hua KT, Cheng TY. Nuclear translocation of PKM2/AMPK complex sustains cancer stem cell populations under glucose restriction stress. Cancer Letters. PMID 29408265 DOI: 10.1016/j.canlet.2018.01.075  0.029
2002 Liu CM, Hong CY, Shun CT, Hsiao TY, Wang CC, Wang JS, Hsiao M, Lin SK. Inducible cyclooxygenase and interleukin 6 gene expressions in nasal polyp fibroblasts: possible implication in the pathogenesis of nasal polyposis. Archives of Otolaryngology--Head & Neck Surgery. 128: 945-51. PMID 12162776  0.029
2006 Lo WC, Lu PJ, Ho WY, Hsiao M, Tseng CJ. Induction of heme oxygenase-1 is involved in carbon monoxide-mediated central cardiovascular regulation. The Journal of Pharmacology and Experimental Therapeutics. 318: 8-16. PMID 16565166 DOI: 10.1124/jpet.105.099051  0.029
2019 Lin CH, Li HY, Liu YP, Kuo PF, Wang WC, Lin FC, Chang WL, Sheu BS, Wang YC, Hung WC, Cheng HC, Yao YC, Calkins MJ, Hsiao M, Lu PJ. High-CLDN4 ESCC cells harbor stem-like properties and indicate for poor concurrent chemoradiation therapy response in esophageal squamous cell carcinoma. Therapeutic Advances in Medical Oncology. 11: 1758835919875324. PMID 31632466 DOI: 10.1177/1758835919875324  0.028
2017 Chiang KC, Yeh TS, Huang CC, Chang YC, Juang HH, Cheng CT, Pang JS, Hsu JT, Takano M, Chen TC, Kittaka A, Hsiao M, Yeh CN. MART-10 represses cholangiocarcinoma cell growth and high vitamin D receptor expression indicates better prognosis for cholangiocarcinoma. Scientific Reports. 7: 43773. PMID 28256614 DOI: 10.1038/srep43773  0.028
2014 Cheng PW, Ho WY, Su YT, Lu PJ, Chen BZ, Cheng WH, Lu WH, Sun GC, Yeh TC, Hsiao M, Tseng CJ. Resveratrol decreases fructose-induced oxidative stress, mediated by NADPH oxidase via an AMPK-dependent mechanism. British Journal of Pharmacology. 171: 2739-50. PMID 24547812 DOI: 10.1111/bph.12648  0.028
2016 Kosheleva OK, Lai TC, Chen NG, Hsiao M, Chen CH. Selective killing of cancer cells by nanoparticle-assisted ultrasound. Journal of Nanobiotechnology. 14: 46. PMID 27301243 DOI: 10.1186/s12951-016-0194-9  0.028
2016 Lai CC, Liu CP, Cheng PW, Lu PJ, Hsiao M, Lu WH, Sun GC, Liou JC, Tseng CJ. Paricalcitol Attenuates Cardiac Fibrosis and Expression of Endothelial Cell Transition Markers in Isoproterenol-Induced Cardiomyopathic Rats. Critical Care Medicine. PMID 27065465 DOI: 10.1097/CCM.0000000000001736  0.028
2010 Weng KP, Ho TY, Chiao YH, Cheng JT, Hsieh KS, Huang SH, Ou SF, Liu KH, Hsu CJ, Lu PJ, Hsiao M, Ger LP. Cytokine genetic polymorphisms and susceptibility to Kawasaki disease in Taiwanese children. Circulation Journal : Official Journal of the Japanese Circulation Society. 74: 2726-33. PMID 21048327 DOI: 10.1253/CIRCJ.CJ-10-0542  0.028
2017 Lin TC, Hsiao M. Ghrelin and Cancer Progression. Biochimica Et Biophysica Acta. PMID 28238732 DOI: 10.1016/j.bbcan.2017.02.002  0.027
2012 Lin FR, Huang SY, Hung KH, Su ST, Chung CH, Matsuzawa A, Hsiao M, Ichijo H, Lin KI. ASK1 promotes apoptosis of normal and malignant plasma cells. Blood. 120: 1039-47. PMID 22723553 DOI: 10.1182/blood-2011-12-399808  0.027
2018 Chien MH, Lee WJ, Yang YC, Tan P, Pan KF, Liu YC, Tsai HC, Hsu CH, Wen YC, Hsiao M, Hua KT. N-α-acetyltransferase 10 protein promotes metastasis by stabilizing matrix metalloproteinase-2 protein in human osteosarcomas. Cancer Letters. PMID 29960050 DOI: 10.1016/j.canlet.2018.06.033  0.027
2018 Hung WY, Chang JH, Cheng Y, Chen CK, Chen JQ, Hua KT, Cheng CW, Hsiao M, Chung CL, Lee WJ, Chien MH. Leukocyte Cell-Derived Chemotaxin 2 Retards Non-Small Cell Lung Cancer Progression Through Antagonizing MET and EGFR Activities. Cellular Physiology and Biochemistry : International Journal of Experimental Cellular Physiology, Biochemistry, and Pharmacology. 51: 337-355. PMID 30453282 DOI: 10.1159/000495233  0.027
2014 Yang YF, Jan YH, Liu YP, Yang CJ, Su CY, Chang YC, Lai TC, Chiou J, Tsai HY, Lu J, Shen CN, Shew JY, Lu PJ, Lin YF, Huang MS, ... Hsiao M, et al. Squalene synthase induces tumor necrosis factor receptor 1 enrichment in lipid rafts to promote lung cancer metastasis. American Journal of Respiratory and Critical Care Medicine. 190: 675-87. PMID 25152164 DOI: 10.1164/rccm.201404-0714OC  0.027
2017 Huang YJ, Yang CK, Wei PL, Huynh TT, Whang-Peng J, Meng TC, Hsiao M, Tzeng YM, Wu AT, Yen Y. Ovatodiolide suppresses colon tumorigenesis and prevents polarization of M2 tumor-associated macrophages through YAP oncogenic pathways. Journal of Hematology & Oncology. 10: 60. PMID 28241877 DOI: 10.1186/s13045-017-0421-3  0.027
2012 Tsai WC, Hsu SD, Hsu CS, Lai TC, Chen SJ, Shen R, Huang Y, Chen HC, Lee CH, Tsai TF, Hsu MT, Wu JC, Huang HD, Shiao MS, Hsiao M, et al. MicroRNA-122 plays a critical role in liver homeostasis and hepatocarcinogenesis. The Journal of Clinical Investigation. 122: 2884-97. PMID 22820290 DOI: 10.1172/JCI63455  0.027
2013 Lu WH, Hsieh KS, Lu PJ, Wu YS, Ho WY, Lai CC, Wang JS, Ger LP, Hsiao M, Tseng CJ. Hexamethonium reverses the lethal cardiopulmonary damages in a rat model of brainstem lesions mimicking fatal enterovirus 71 encephalitis. Critical Care Medicine. 41: 1276-85. PMID 23388515 DOI: 10.1097/CCM.0b013e3182771364  0.026
2023 Wu DC, Ku CC, Pan JB, Wuputra K, Yang YH, Liu CJ, Liu YC, Kato K, Saito S, Lin YC, Chong IW, Hsiao M, Hu HM, Kuo CH, Kuo KK, et al. Heterogeneity of Phase II Enzyme Ligands on Controlling the Progression of Human Gastric Cancer Organoids as Stem Cell Therapy Model. International Journal of Molecular Sciences. 24. PMID 37958895 DOI: 10.3390/ijms242115911  0.026
2007 Lee JJ, Chen PB, Yang SH, Cheng CH, Chueh LL, Pang VF, Hsiao M, Lin CT. Effect of the VP3 gene of chicken anemia virus on canine mammary tumor cells. American Journal of Veterinary Research. 68: 411-22. PMID 17397298 DOI: 10.2460/ajvr.68.4.411  0.026
2015 Yang SF, Lee WJ, Tan P, Tang CH, Hsiao M, Hsieh FK, Chien MH. Upregulation of miR-328 and inhibition of CREB-DNA-binding activity are critical for resveratrol-mediated suppression of matrix metalloproteinase-2 and subsequent metastatic ability in human osteosarcomas. Oncotarget. 6: 2736-53. PMID 25605016 DOI: 10.18632/ONCOTARGET.3088  0.025
2018 Chan YC, Chan MH, Chen CW, Liu RS, Hsiao M, Tsai DP. Near-Infrared-Activated Fluorescence Resonance Energy Transfer-Based Nanocomposite to Sense MMP2-Overexpressing Oral Cancer Cells. Acs Omega. 3: 1627-1634. PMID 30023811 DOI: 10.1021/acsomega.7b01494  0.025
2014 Shiah SG, Hsiao JR, Chang WM, Chen YW, Jin YT, Wong TY, Huang JS, Tsai ST, Hsu YM, Chou ST, Yen YC, Jiang SS, Shieh YS, Chang IS, Hsiao M, et al. Downregulated miR329 and miR410 promote the proliferation and invasion of oral squamous cell carcinoma by targeting Wnt-7b. Cancer Research. 74: 7560-72. PMID 25351956 DOI: 10.1158/0008-5472.CAN-14-0978  0.025
2017 Hsiao YW, Lai TC, Lin YH, Su CY, Lee JJ, Liao AT, Lin YF, Hsieh SC, Wu ATH, Hsiao M. Granulysin expressed in a humanized mouse model induces apoptotic cell death and suppresses tumorigenicity. Oncotarget. 8: 83495-83508. PMID 29137359 DOI: 10.18632/oncotarget.11473  0.025
2004 Chen AY, Shih SJ, Hsiao M, Rothenberg ML, Prudhomme M. Induction of radiosensitization by indolocarbazole derivatives: the role of DNA topoisomerase I. Molecular Pharmacology. 66: 553-60. PMID 15322247 DOI: 10.1124/mol.66.3.  0.025
2012 Cheng WH, Lu PJ, Hsiao M, Hsiao CH, Ho WY, Cheng PW, Lin CT, Hong LZ, Tseng CJ. Renin activates PI3K-Akt-eNOS signalling through the angiotensin AT₁ and Mas receptors to modulate central blood pressure control in the nucleus tractus solitarii. British Journal of Pharmacology. 166: 2024-35. PMID 22224457 DOI: 10.1111/j.1476-5381.2012.01832.x  0.025
2017 Chen HA, Chang YW, Tseng CF, Chiu CF, Hong CC, Wang W, Wang MY, Hsiao M, Ma JT, Chen CH, Jiang SS, Wu CH, Hung MC, Huang MT, Su JL. Erratum to: E1A-Mediated Inhibition of HSPA5 Suppresses Cell Migration and Invasion in Triple-Negative Breast Cancer. Annals of Surgical Oncology. PMID 28160139 DOI: 10.1245/s10434-017-5769-7  0.024
2004 Tse V, Yung Y, Santarelli JG, Juan D, Hsiao M, Haas M, Harsh G, Silverberg G. Effects of tumor suppressor gene (p53) on brain tumor angiogenesis and expression of angiogenic modulators. Anticancer Research. 24: 1-10. PMID 15015569  0.024
2019 Jan YH, Lai TC, Yang CJ, Lin YF, Huang MS, Hsiao M. Adenylate kinase 4 modulates oxidative stress and stabilizes HIF-1α to drive lung adenocarcinoma metastasis. Journal of Hematology & Oncology. 12: 12. PMID 30696468 DOI: 10.1186/s13045-019-0698-5  0.024
2014 Hua KT, Lee WJ, Yang SF, Chen CK, Hsiao M, Ku CC, Wei LH, Kuo ML, Chien MH. Vascular endothelial growth factor-C modulates proliferation and chemoresistance in acute myeloid leukemic cells through an endothelin-1-dependent induction of cyclooxygenase-2 Biochimica Et Biophysica Acta - Molecular Cell Research. 1843: 387-397. PMID 24184161 DOI: 10.1016/j.bbamcr.2013.10.015  0.024
2021 Lin MY, Chang YC, Wang SY, Yang MH, Chang CH, Hsiao M, Kitsis RN, Lee YJ. OncomiR miR-182-5p Enhances Radiosensitivity by Inhibiting the Radiation-Induced Antioxidant Effect through SESN2 in Head and Neck Cancer. Antioxidants (Basel, Switzerland). 10. PMID 34829679 DOI: 10.3390/antiox10111808  0.023
2016 Lin CH, Tsai CH, Yeh CT, Liang JL, Hung WC, Lin FC, Chang WL, Li HY, Yao YC, Hsu TI, Lee YC, Wang YC, Sheu BS, Lai WW, Calkins MJ, ... Hsiao M, et al. MiR-193a-5p/ERBB2 act as concurrent chemoradiation therapy response indicator of esophageal squamous cell carcinoma. Oncotarget. PMID 27203740 DOI: 10.18632/Oncotarget.9444  0.023
2019 Chan MH, Lai CY, Chan YC, Hsiao M, Chung RJ, Chen X, Liu RS. Development of upconversion nanoparticle-conjugated indium phosphide quantum dot for matrix metalloproteinase-2 cancer transformation sensing. Nanomedicine (London, England). PMID 31305218 DOI: 10.2217/nnm-2018-0524  0.023
2017 Kuo TC, Tan CT, Chang YW, Hong CC, Lee WJ, Chen MW, Jeng YM, Chiou J, Yu P, Chen PS, Wang MY, Hsiao M, Su JL, Kuo ML. Angiopoietin-like protein 1 suppresses SLUG to inhibit cancer cell motility. The Journal of Clinical Investigation. 127: 402. PMID 28045406 DOI: 10.1172/JCI91882  0.022
2021 Yuan LT, Lee WJ, Yang YC, Chen BR, Yang CY, Chen MW, Chen JQ, Hsiao M, Chien MH, Hua KT. Histone Methyltransferase G9a-Promoted Progression of Hepatocellular Carcinoma Is Targeted by Liver-Specific Hsa-miR-122. Cancers. 13. PMID 34069116 DOI: 10.3390/cancers13102376  0.022
2017 Lee WJ, Chien MH, Chow JM, Chang JL, Wen YC, Lin YW, Cheng CW, Lai GM, Hsiao M, Lee LM. Corrigendum: Nonautophagic cytoplasmic vacuolation death induction in human PC-3M prostate cancer by curcumin through reactive oxygen species -mediated endoplasmic reticulum stress. Scientific Reports. 7: 42453. PMID 28225017 DOI: 10.1038/srep42453  0.022
2009 Lee KH, Goan YG, Hsiao M, Lee CH, Jian SH, Lin JT, Chen YL, Lu PJ. MicroRNA-373 (miR-373) post-transcriptionally regulates large tumor suppressor, homolog 2 (LATS2) and stimulates proliferation in human esophageal cancer. Experimental Cell Research. 315: 2529-38. PMID 19501585 DOI: 10.1016/j.yexcr.2009.06.001  0.022
2015 Hua KT, Chien MH, Lee WJ, Hsieh FK, Li CF, Cheng TY, Wang MY, Chen JS, Chow JM, Jan YH, Hsiao M, Kuo ML. Keap1-Nrf2 interaction suppresses cell motility in lung adenocarcinomas by targeting the S100P protein. Clinical Cancer Research : An Official Journal of the American Association For Cancer Research. PMID 26078391 DOI: 10.1158/1078-0432.CCR-14-2880  0.022
2002 Lin SK, Kok SH, Kuo MY, Wang TJ, Wang JT, Yeh FT, Hsiao M, Lan WH, Hong CY. Sequential expressions of MMP-1, TIMP-1, IL-6, and COX-2 genes in induced periapical lesions in rats. European Journal of Oral Sciences. 110: 246-53. PMID 12120711 DOI: 10.1034/j.1600-0447.2002.11227.x  0.022
2011 Hua KT, Tan CT, Johansson G, Lee JM, Yang PW, Lu HY, Chen CK, Su JL, Chen PB, Wu YL, Chi CC, Kao HJ, Shih HJ, Chen MW, Chien MH, ... ... Hsiao M, et al. N-α-acetyltransferase 10 protein suppresses cancer cell metastasis by binding PIX proteins and inhibiting Cdc42/Rac1 activity. Cancer Cell. 19: 218-31. PMID 21295525 DOI: 10.1016/j.ccr.2010.11.010  0.022
2004 Lo WC, Hsiao M, Tung CS, Tseng CJ. The cardiovascular effect of nitric oxide and carbon monoxide in the nucleus tractus solitarii of rats Journal of Hypertension. 22: 1183-1190. PMID 15167454  0.021
2003 Lin SK, Kok SH, Kuo MY, Lee MS, Wang CC, Lan WH, Hsiao M, Goldring SR, Hong CY. Nitric oxide promotes infectious bone resorption by enhancing cytokine-stimulated interstitial collagenase synthesis in osteoblasts. Journal of Bone and Mineral Research : the Official Journal of the American Society For Bone and Mineral Research. 18: 39-46. PMID 12510804 DOI: 10.1359/jbmr.2003.18.1.39  0.021
2024 Wen YC, Tram VTN, Chen WH, Li CH, Yeh HL, Thuy Dung PV, Jiang KC, Li HR, Huang J, Hsiao M, Chen WY, Liu YN. Correction: CHRM4/AKT/MYCN upregulates interferon alpha-17 in the tumor microenvironment to promote neuroendocrine differentiation of prostate cancer. Cell Death & Disease. 15: 92. PMID 38287005 DOI: 10.1038/s41419-023-06363-1  0.021
2021 Pan JK, Lin CH, Kuo YL, Ger LP, Cheng HC, Yao YC, Hsiao M, Lu PJ. MiR-211 determines brain metastasis specificity through SOX11/NGN2 axis in triple-negative breast cancer. Oncogene. PMID 33536579 DOI: 10.1038/s41388-021-01654-3  0.02
Hide low-probability matches.