Magdy Bayoumi - Publications

Affiliations: 
University of Louisiana at Lafayette, Lafayette, LA, United States 
Area:
Electronics and Electrical Engineering, Computer Science

134 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2019 Khalil K, Eldash O, Kumar A, Bayoumi M. Economic LSTM Approach for Recurrent Neural Networks Ieee Transactions On Circuits and Systems Ii: Express Briefs. 66: 1885-1889. DOI: 10.1109/Tcsii.2019.2924663  0.36
2018 Li X, Salehi MA, Bayoumi M, Tzeng N, Buyya R. Cost-Efficient and Robust On-Demand Video Transcoding Using Heterogeneous Cloud Services Ieee Transactions On Parallel and Distributed Systems. 29: 556-571. DOI: 10.1109/Tpds.2017.2766069  0.32
2017 Jeddi Z, Khattab A, Amini E, Bayoumi M. Redundant Bit Security in RFIDs: Architecture Design and Security Performance Evaluation Journal of Circuits, Systems and Computers. 26: 1750138. DOI: 10.1142/S0218126617501389  0.806
2016 Nasirian N, Bayoumi M. Low-latency power-efficient adaptive router design for network-on-chip International System On Chip Conference. 2016: 287-291. DOI: 10.1109/SOCC.2015.7406965  0.318
2015 Amini E, Jeddi Z, Khattab A, Bayoumi M. Performance evaluation and design optimization for flexible Multiple Instruction Multiple Data elliptic curve cryptography crypto architecture Journal of Low Power Electronics. 11: 1-15. DOI: 10.1166/Jolpe.2015.1364  0.816
2015 Farah SN, Bayoumi MA. OAPM: Fine-grained operand-aware power management with fast reaction time 2014 21st Ieee International Conference On Electronics, Circuits and Systems, Icecs 2014. 754-757. DOI: 10.1109/ICECS.2014.7050095  0.607
2015 Minvielle R, Bayoumi M. Energy scavenging and storage using through silicon vias to reduce power consumption in 3D ICs 2014 21st Ieee International Conference On Electronics, Circuits and Systems, Icecs 2014. 219-222. DOI: 10.1109/ICECS.2014.7049961  0.794
2014 Jeddi Z, Amini E, Bayoumi M. A Novel Authenticated Cipher for RFID Systems International Journal On Cryptography and Information Security. 4: 13-29. DOI: 10.5121/Ijcis.2014.4102  0.794
2014 SHAKER MO, BAYOUMI MA. A CLOCK GATED SUCCESSIVE APPROXIMATION REGISTER FOR A/D CONVERSIONS Journal of Circuits, Systems and Computers. 23: 1450023. DOI: 10.1142/S0218126614500236  0.34
2014 Al Najjar M, Ghantous M, Bayoumi M. Hardware architecture assist for critical components Lecture Notes in Electrical Engineering. 114: 175-198. DOI: 10.1007/978-1-4614-1857-3_8  0.752
2014 Al Najjar M, Ghantous M, Bayoumi M. Visual sensor nodes Lecture Notes in Electrical Engineering. 114: 17-35. DOI: 10.1007/978-1-4614-1857-3_2  0.738
2013 SIL A, BALUSU KP, GURRAM CS, BAYOUMI M. A 3.1 GB/s, 8 Kb, ZERO PRECHARGE, PIPELINED, HIGHLY STABLE 2-PORT 8T SRAM DESIGN IN 65 nm Journal of Circuits, Systems and Computers. 22: 1350069. DOI: 10.1142/S0218126613500692  0.54
2013 Farah S, Bayoumi M. A comprehensive operand-aware dynamic clock gating scheme for low-power Domino Logic International System On Chip Conference. 349-354. DOI: 10.1109/SOCC.2013.6749714  0.623
2013 Shaker M, Bayoumi M. Novel clock gating techniques for low power flip-flops and its applications Midwest Symposium On Circuits and Systems. 420-424. DOI: 10.1109/MWSCAS.2013.6674675  0.356
2013 Minvielle R, Bayoumi M. On through silicon vias as used in three dimensional integrated circuits 2013 4th Annual International Conference On Energy Aware Computing Systems and Applications, Iceac 2013. 125-130. DOI: 10.1109/ICEAC.2013.6737650  0.78
2013 Jeddi Z, Amini E, Bayoumi M. A novel authenticated encryption algorithm for RFID systems Proceedings - 16th Euromicro Conference On Digital System Design, Dsd 2013. 658-661. DOI: 10.1109/DSD.2013.117  0.797
2013 Elarabi TA, Ayoubi R, Mahmoud H, Bayoumi MA. Efficient 45nm ASIC architecture for full-search free intra prediction in real-time H.264/AVC decoder Journal of Signal Processing Systems. 70: 91-104. DOI: 10.1007/s11265-012-0700-8  0.813
2012 Amini E, Jeddi Z, Khattab A, Bayoumi M. A low-power parallel architecture for finite galois field GF(2 m) arithmetic operations for elliptic curve cryptography Journal of Low Power Electronics. 8: 440-451. DOI: 10.1166/Jolpe.2012.1205  0.825
2012 Elarabi TA, Ayoubi R, Mahmoud H, Bayoumi M. Hardware architecture for fast Intra mode and direction prediction in real-time MPEG-2 to H.264/AVC transcoder 2012 Ieee International Symposium On a World of Wireless, Mobile and Multimedia Networks, Wowmom 2012 - Digital Proceedings. DOI: 10.1109/WoWMoM.2012.6263798  0.803
2012 Ismail Y, McNeely JB, Shaaban M, Mahmoud H, Bayoumi MA. Fast motion estimation system using dynamic models for H.264/AVC video coding Ieee Transactions On Circuits and Systems For Video Technology. 22: 28-42. DOI: 10.1109/Tcsvt.2011.2148450  0.805
2012 Sil A, Bakkamanthala S, Karlapudi S, Bayoumi M. Highly stable, dual-port, sub-threshold 7T SRAM cell for ultra-low power application 2012 Ieee 10th International New Circuits and Systems Conference, Newcas 2012. 493-496. DOI: 10.1109/NEWCAS.2012.6329064  0.301
2012 Farah SN, Bayoumi MA. CEMS-PG: A parametrized algorithm for balanced partitioning and wakeup of power gated circuits 2012 19th Ieee International Conference On Electronics, Circuits, and Systems, Icecs 2012. 829-832. DOI: 10.1109/ICECS.2012.6463531  0.634
2012 Amini E, Jeddi Z, Bayoumi M. A high-throughput ECC architecture 2012 19th Ieee International Conference On Electronics, Circuits, and Systems, Icecs 2012. 901-904. DOI: 10.1109/ICECS.2012.6463516  0.823
2012 Amini E, Jeddi Z, Farah S, Bayoumi M. Comparing performance metrics of a parallel ECC architecture vs. input data patterns and granularity 2012 International Conference On Energy Aware Computing, Iceac 2012. DOI: 10.1109/ICEAC.2012.6471015  0.819
2012 Jeddi Z, Amini E, Bayoumi M. RBS: Redundant bit security algorithm for RFID systems 2012 21st International Conference On Computer Communications and Networks, Icccn 2012 - Proceedings. DOI: 10.1109/ICCCN.2012.6289242  0.804
2012 Goel S, Ismail Y, Bayoumi M. High-speed motion estimation architecture for real-time video transmission Computer Journal. 55: 35-46. DOI: 10.1093/Comjnl/Bxr034  0.796
2011 Faisal I, Jeddi Z, Amini E, Bayoumi M. A flexible architecture for finite field galois fields(2 m)) arithmetic processor Journal of Low Power Electronics. 7: 314-327. DOI: 10.1166/Jolpe.2011.1150  0.815
2011 Sil A, Bayoumi M. A bit-interleaved 2-port subthreshold 6T SRAM array with high write-ability and SIMM-Free read in 90 nm Journal of Low Power Electronics. 7: 96-109. DOI: 10.1166/Jolpe.2011.1120  0.549
2011 Abdelgawad A, Bayoumi M. Low-power distributed kalman filter for wireless sensor networks Eurasip Journal On Embedded Systems. 2011. DOI: 10.1155/2011/693150  0.699
2011 Abdelgawad AM, Bayoumi MA. Remote measuring for sand in pipelines using wireless sensor network Ieee Transactions On Instrumentation and Measurement. 60: 1443-1452. DOI: 10.1109/Tim.2010.2086711  0.647
2011 Sanusi A, Bayoumi MA. De-Cache: A novel caching scheme for large-scale NoC based multiprocessor systems-on-chips International System On Chip Conference. 191-196. DOI: 10.1109/SOCC.2011.6085079  0.614
2011 Elarabi TA, Ragab AM, Mahmoud H, Bayoumi M. High speed intra mode and direction prediction for MPEG-2 to H.264/AVC realtime transcoder 2011 Ieee Workshop On Signal Processing Systems, Sips 2011, Proceedings. 78-83. DOI: 10.1109/SiPS.2011.6088953  0.78
2011 Al Najjar M, Karlapudi S, Bayoumi M. High-performance ASIC architecture for hysteresis thresholding and component feature extraction in limited-resource applications Proceedings - International Conference On Image Processing, Icip. 1061-1064. DOI: 10.1109/ICIP.2011.6115608  0.754
2010 Bhattacharyya M, Kumar A, Bayoumi M. System framework and protocols for ubiquitous computing based monitoring of an oil platform Designing Solutions-Based Ubiquitous and Pervasive Computing: New Issues and Trends. 138-157. DOI: 10.4018/978-1-61520-843-2.ch007  0.494
2010 Bhattacharyya M, Kumar A, Bayoumi M. Wireless sensor network based data fusion and control model for an oil production platform Designing Solutions-Based Ubiquitous and Pervasive Computing: New Issues and Trends. 113-137. DOI: 10.4018/978-1-61520-843-2.ch006  0.439
2010 Ismail Y, Shaaban M, McNeely JB, Bayoumi MA. An Efficient Adaptive High Speed Manipulation Architecture for Fast Variable Padding Frequency Domain Motion Estimation Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. DOI: 10.1109/Tvlsi.2010.2046686  0.803
2010 Ismail Y, Bayoumi MA. Efficient high speed lattice-cordic IFFT architecture for DMT transmitter Ieee Workshop On Signal Processing Systems, Sips: Design and Implementation. 151-155. DOI: 10.1109/SIPS.2010.5624779  0.373
2010 Al Najjar M, Karlapudi S, Bayoumi M. A compact single-pass architecture for hysteresis thresholding and component labeling Proceedings - International Conference On Image Processing, Icip. 101-104. DOI: 10.1109/ICIP.2010.5652676  0.756
2010 Ismail Y, McNeely J, Shaaban M, Al Najjar M, Bayoumi MA. A fast discrete transform architecture for Frequency Domain Motion Estimation Proceedings - International Conference On Image Processing, Icip. 1249-1252. DOI: 10.1109/ICIP.2010.5652335  0.762
2010 Abdelhak S, Chaudhuri RS, Gurram CS, Ghosh S, Bayoumi M. Energy-Aware Distributed QR Decomposition on Wireless Sensor Nodes The Computer Journal. 54: 373-391. DOI: 10.1093/Comjnl/Bxq017  0.771
2009 Zhao P, McNeely JB, Golconda PK, Venigalla S, Wang N, Bayoumi MA, Kuang W, Downey L. Low-power clocked-pseudo-NMOS flip-flop for level conversion in dual supply systems Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 17: 1196-1202. DOI: 10.1109/Tvlsi.2008.2002426  0.814
2009 Merhi Z, Elgamel M, Bayoumi M. A Lightweight Collaborative Fault Tolerant Target Localization System for Wireless Sensor Networks Ieee Transactions On Mobile Computing. 8: 1690-1704. DOI: 10.1109/Tmc.2009.81  0.779
2009 Ismail Y, Elgamel MA, Bayoumi MA. Fast variable padding motion estimation using smart zero motion prejudgment technique for pixel and frequency domains Ieee Transactions On Circuits and Systems For Video Technology. 19: 609-626. DOI: 10.1109/Tcsvt.2009.2017417  0.81
2009 Sanusi A, Bayoumi MA. Smart-flooding: A novel scheme for fault-tolerant NoCs Proceedings - Ieee International Soc Conference, Socc 2009. 259-262. DOI: 10.1109/SOCCON.2009.5398046  0.572
2009 Akl CJ, Ayoubi RA, Bayoumi MA. Post-silicon clock-invert (PSCI) for reducing process-variation induced skew in buffered clock networks Proceedings of the 10th International Symposium On Quality Electronic Design, Isqed 2009. 794-798. DOI: 10.1109/ISQED.2009.4810394  0.807
2009 Akl CJ, Ayoubi RA, Bayoumi MA. An effective staggered-phase damping technique for suppressing power-gating resonance noise during mode transition Proceedings of the 10th International Symposium On Quality Electronic Design, Isqed 2009. 116-119. DOI: 10.1109/ISQED.2009.4810280  0.795
2009 Al Najjar M, Ghosh S, Bayoumi M. A hybrid adaptive scheme based on selective Gaussian modeling for real-time object detection Proceedings - Ieee International Symposium On Circuits and Systems. 936-939. DOI: 10.1109/ISCAS.2009.5117911  0.74
2009 Al Najjar M, Ghosh S, Bayoumi M. Robust object tracking using correspondence voting for smart surveillance visual sensing nodes Proceedings - International Conference On Image Processing, Icip. 1133-1136. DOI: 10.1109/ICIP.2009.5414523  0.743
2008 Akl CJ, Bayoumi MA. Reducing wakeup latency and energy of MTCMOS circuits via keeper insertion Proceedings of the International Symposium On Low Power Electronics and Design. 69-73. DOI: 10.1145/1393921.1393942  0.809
2008 Akl CJ, Bayoumi MA. Assumers for high-speed single and multi-cycle on-chip interconnect with low repeater count Proceedings of the Acm Great Lakes Symposium On Vlsi, Glsvlsi. 327-331. DOI: 10.1145/1366110.1366187  0.808
2008 Akl CJ, Bayoumi MA. Self-sleep buffer for distributed MTCMOS design Proceedings of the Ieee International Frequency Control Symposium and Exposition. 673-678. DOI: 10.1109/VLSI.2008.24  0.772
2008 Akl CJ, Bayoumi MA. Wiring-area efficient simultaneous bidirectional point-to-point link for inter-block on-chip signaling Proceedings of the Ieee International Frequency Control Symposium and Exposition. 193-200. DOI: 10.1109/VLSI.2008.23  0.808
2008 Akl CJ, Bayoumi MA. Reducing interconnect delay uncertainty via hybrid polarity repeater insertion Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 16: 1230-1239. DOI: 10.1109/TVLSI.2008.2000861  0.797
2008 Akl CJ, Bayoumi MA. Transition skew coding for global on-chip interconnect Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 16: 1091-1096. DOI: 10.1109/TVLSI.2008.2000596  0.796
2008 Akl CJ, Bayoumi MA. Single-phase SP-domino: A limited-switching dynamic circuit technique for low-power wide fan-in logic gates Ieee Transactions On Circuits and Systems Ii: Express Briefs. 55: 141-145. DOI: 10.1109/TCSII.2007.911836  0.802
2008 Sanusi A, Wang N, Bayoumi MA. Guaranteeing QoS with the pipelined multi-channel central caching NoC communication architecture 2008 Ieee International Soc Conference, Socc. 75-78. DOI: 10.1109/SOCC.2008.4641483  0.6
2008 Akl CJ, Bayoumi MA. Feedback-Switch Logic (FSL): A high-speed low-power differential dynamic-like static CMOS Circuit Family Proceedings of the 9th International Symposium On Quality Electronic Design, Isqed 2008. 385-390. DOI: 10.1109/ISQED.2008.4479762  0.805
2008 Akl CJ, Bayoumi MA. Cost-effective and low-power memory address bus encodings Proceedings - Ieee International Symposium On Circuits and Systems. 2010-2013. DOI: 10.1109/ISCAS.2008.4541841  0.788
2007 Bhattacharyya M, Kumar A, Bayoumi M. A framework for assessing residual energy in wireless sensor network International Journal of Sensor Networks. 2: 256. DOI: 10.1504/Ijsnet.2007.013206  0.519
2007 Elgharbawy WM, Golconda P, Moursy AG, Bayoumi MA. Novel Adaptive Body Biasing Techniques for Energy Efficient Subthreshold CMOS Circuits Journal of Low Power Electronics. 3: 175-188. DOI: 10.1166/Jolpe.2007.131  0.776
2007 Tecpanecatl-Xihuitl JL, Aguilar-Ponce RM, Bayoumi M. Hybrid multiplierless FIR filter architecture based on NEDA 2007 Ifip International Conference On Very Large Scale Integration, Vlsi-Soc. 316-319. DOI: 10.1109/VLSISOC.2007.4402521  0.799
2007 Zhao P, McNeely J, Golconda P, Bayoumi MA, Barcenas RA, Kuang W. Low-Power Clock Branch Sharing Double-Edge Triggered Flip-Flop Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 15: 338-345. DOI: 10.1109/Tvlsi.2007.893623  0.824
2007 Nan W, Sanusi A, Peiyi Z, Mohamed S, Bayoumi MA. PMCNOC: A pipelining multi-channel central caching network-on-chip communication architecture design Ieee Workshop On Signal Processing Systems, Sips: Design and Implementation. 487-492. DOI: 10.1109/SIPS.2007.4387596  0.629
2007 Baker A, Ghosh S, Kumar A, Bayoumi M. Notice of Violation of IEEE Publication Principles LDPC decoder: A cognitive radio perspective for next generation (XG) communication Ieee Circuits and Systems Magazine. 7: 24-37. DOI: 10.1109/Mcas.2007.904180  0.57
2007 Akl CJ, Bayoumi MA. Reducing delay uncertainty of on-chip interconnects by combining inverting and non-inverting repeaters insertion Proceedings - Eighth International Symposium On Quality Electronic Design, Isqed 2007. 216-224. DOI: 10.1109/ISQED.2007.134  0.793
2007 Akl CJ, Bayoumi MA. Transition skew coding: A power and area efficient encoding technique for global on-chip interconnects Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 696-701. DOI: 10.1109/ASPDAC.2007.358068  0.809
2007 Aguilar-Ponce R, Kumar A, Tecpanecatl-Xihuitl JL, Bayoumi M. A network of sensor-based framework for automated visual surveillance Journal of Network and Computer Applications. 30: 1244-1271. DOI: 10.1016/J.Jnca.2006.04.011  0.775
2007 Xiaodong Z, Bayoumi M. A low power 4-bit interleaved burst sampling ADC for Sub-GHz impulse UWB radio Proceedings - Ieee International Symposium On Circuits and Systems. 1165-1168.  0.305
2007 Abdelgawad A, Bayoumi M. High speed and area-efficient multiply accumulate (MAC) unit for digital signal prossing applications Proceedings - Ieee International Symposium On Circuits and Systems. 3199-3202.  0.328
2006 Goel S, Kumar A, Bayoumi MA. Design of Robust, Energy-Efficient Full Adders for Deep-Submicrometer Design Using Hybrid-CMOS Logic Style Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 14: 1309-1321. DOI: 10.1109/Tvlsi.2006.887807  0.568
2006 Shams AM, Chidanandan A, Pan W, Bayoumi MA. NEDA: A low-power high-performance DCT architecture Ieee Transactions On Signal Processing. 54: 955-964. DOI: 10.1109/TSP.2005.862755  0.83
2006 Li Y, Bayoumi M. A three-level parallel high-speed low-power architecture for EBCOT of JPEG 2000 Ieee Transactions On Circuits and Systems For Video Technology. 16: 1153-1163. DOI: 10.1109/TCSVT.2006.881864  0.355
2006 Goel S, Elgamel MA, Bayoumi MA, Hanafy Y. Design methodologies for high-performance noise-tolerant XOR-XNOR circuits Ieee Transactions On Circuits and Systems I: Regular Papers. 53: 867-878. DOI: 10.1109/Tcsi.2005.860119  0.809
2006 McNeely J, Bayoumi M. Low power lookup tables for huffman decoding Proceedings - International Conference On Image Processing, Icip. 6. DOI: 10.1109/ICIP.2007.4379622  0.316
2006 Elgamel MA, Bayoumi MA. Interconnect noise optimization in nanometer technologies Interconnect Noise Optimization in Nanometer Technologies. 1-137. DOI: 10.1007/0-387-29366-3  0.741
2006 Chidanandan A, Bayoumi M. Area-efficient NEDA architecture for the 1-D DCT/IDCT Icassp, Ieee International Conference On Acoustics, Speech and Signal Processing - Proceedings. 3.  0.807
2006 Li Y, Bayoumi M. A power-efficient architecture for EBCOT tier-1 in JPEG 2000 Proceedings - Ieee International Symposium On Circuits and Systems. 1941-1944.  0.349
2005 Kumar A, Bayoumi M. A fast scheduling algorithm for low power design Journal of Circuits, Systems and Computers. 14: 735-755. DOI: 10.1142/S0218126605002544  0.325
2005 Elgamel MA, Kumar A, Bayoumi MA. Efficient shield insertion for inductive noise reduction in nanometer technologies Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 13: 401-405. DOI: 10.1109/Tvlsi.2004.842882  0.754
2005 Elgharbawy WM, Bayoumi MA. Leakage sources and possible solutions in nanometer CMOS Technologies Ieee Circuits and Systems Magazine. 5: 6-16. DOI: 10.1109/MCAS.2005.1550165  0.802
2005 Elgamel M, Bayoumi M. Interconnect Noise Analysis and Optimization in Deep Submicron Technology The Electrical Engineering Handbook. 311-319. DOI: 10.1109/Mcas.2003.1267064  0.771
2005 Chidanandan A, Bayoumi MA. Novel systolic array architecture for the decorrelator using conjugate gradient for least squares algorithm Proceedings - Ieee International Symposium On Circuits and Systems. 5437-5440. DOI: 10.1109/ISCAS.2005.1465866  0.795
2005 Elgharbawy W, Golconda P, Kumar A, Bayoumi M. A new gate-level body biasing technique for pmos transistors in subthreshold cmos circuits Proceedings - Ieee International Symposium On Circuits and Systems. 4697-4700. DOI: 10.1109/ISCAS.2005.1465681  0.82
2005 Tecpanecatl-Xihuitl JL, Kumar A, Bayoumi MA. Low complexity decimation filter for multi-standard digital receivers Proceedings - Ieee International Symposium On Circuits and Systems. 552-555. DOI: 10.1109/ISCAS.2005.1464647  0.739
2005 Elassal M, Kumar A, Bayoumi M. A systematic framework for high throughput MAP decoder VLSI architectures Proceedings - Ieee International Symposium On Circuits and Systems. 29-32. DOI: 10.1109/ISCAS.2005.1464516  0.804
2005 Elgamel MA, Faisal MI, Bayoumi MA. Noise metrics in flip-flop designs Ieice Transactions On Information and Systems. 1501-1505. DOI: 10.1093/ietisy/e88-d.7.1501  0.791
2004 Elgamel MA, Bayoumi MA, Shams AM, Zavidovique B. Low power full search block matching motion estimation VLSI architectures Journal of Circuits, Systems and Computers. 13: 1271-1288. DOI: 10.1142/S0218126604001945  0.817
2004 Zhao P, Darwish TK, Bayoumi MA. High-performance and low-power conditional discharge flip-flop Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 12: 477-484. DOI: 10.1109/Tvlsi.2004.826192  0.784
2004 Elgharbawy W, Bayoumi M. New bulk dynamic threshold NMOS schemes for low-energy subthreshold domino-like circuits Proceedings - Ieee Computer Society Annual Symposium On Vlsi: Emerging Trends in Vlsi Systems Design. 115-120. DOI: 10.1109/ISVLSI.2004.1339517  0.801
2004 Badawy W, Bayoumi M. A Low Power Architecture for HASM Motion Tracking Journal of Vlsi Signal Processing Systems For Signal, Image, and Video Technology. 37: 111-127. DOI: 10.1023/B:VLSI.0000017006.75431.c7  0.586
2004 Kumar A, Bayoumi M, Elgamel M. A methodology for low power scheduling with resources operating at multiple voltages Integration. 37: 29-62. DOI: 10.1016/J.Vlsi.2003.09.005  0.79
2004 Elassal M, Bayoumi M. VLSI MAP decoder architectural analysis Ieee Workshop On Signal Processing Systems, Sips: Design and Implementation. 292-297.  0.813
2004 Chidanandan A, Bayoumi M. Enhanced parallel interference cancellation using decorrelator for the base-station receiver Proceedings - Ieee International Symposium On Circuits and Systems. 4.  0.78
2004 Elgharbawy W, Bayoumi M. B-DTNMOS: A novel bulk dynamic threshold NMOS scheme Proceedings - Ieee International Symposium On Circuits and Systems. 2.  0.796
2004 Darwish T, Bayoumi M. Dynamic profiling algorithms for low bit rate video applications Icassp, Ieee International Conference On Acoustics, Speech and Signal Processing - Proceedings. 5.  0.306
2003 Badawy W, Bayoumi MA. Parallel multiplication-free algorithm and architecture for affine-based motion compensation Optical Engineering. 42: 255-264. DOI: 10.1117/1.1525273  0.614
2003 Wilson BA, Bayoumi MA. A computational kernel for fast and efficient compressed-domain calculations of wavelet subband energies Ieee Transactions On Circuits and Systems Ii: Analog and Digital Signal Processing. 50: 389-392. DOI: 10.1109/TCSII.2003.813585  0.541
2003 Elgamel MA, Bayoumi MA. An efficient approach for reducing inductive noise using shield insertion [IC layout] Proceedings - Ieee International Soc Conference, Socc 2003. 203-206. DOI: 10.1109/SOC.2003.1241493  0.746
2003 Darwish T, Bayoumi M. Energy aware distributed arithmetic DCT architectures Ieee Workshop On Signal Processing Systems, Sips: Design and Implementation. 2003: 351-356. DOI: 10.1109/SIPS.2003.1235695  0.301
2003 Utgikar A, Badawy W, Seetharaman G, Bayoumi M. Affine schemes in mesh-based video motion compensation Ieee Workshop On Signal Processing Systems, Sips: Design and Implementation. 2003: 159-164. DOI: 10.1109/SIPS.2003.1235662  0.564
2003 Elassal M, Bayoumi M. A low power turbo decoder architecture Ieee Workshop On Signal Processing Systems, Sips: Design and Implementation. 2003: 105-110. DOI: 10.1109/SIPS.2003.1235652  0.806
2003 Elgamel MA, Bayoumi MA. Minimum-area shield insertion for explicit inductive noise reduction Proceedings - 16th Symposium On Integrated Circuits and Systems Design, Sbcci 2003. 256-260. DOI: 10.1109/SBCCI.2003.1232838  0.745
2003 Goel S, Elgamel MA, Bayoumi MA. Novel design methodology for high-performance XOR-XNOR circuit design Proceedings - 16th Symposium On Integrated Circuits and Systems Design, Sbcci 2003. 71-76. DOI: 10.1109/SBCCI.2003.1232809  0.781
2003 Elgamel MA, Tharmalingam KS, Bayoumi MA. Crosstalk noise analysis in ultra deep submicrometer technologies Proceedings of Ieee Computer Society Annual Symposium On Vlsi, Isvlsi. 2003: 189-192. DOI: 10.1109/ISVLSI.2003.1183461  0.746
2003 Tecpanecatl-Xihuitl JL, Aguilar-Ponce RM, Bayoumi MA, Zavidovique B. Digital if decimation filters for 3G systems using pipeline/interleaving architecture Proceedings - 7th International Symposium On Signal Processing and Its Applications, Isspa 2003. 2: 327-330. DOI: 10.1109/ISSPA.2003.1224880  0.793
2003 Elgamel MA, Bayoumi MA. An efficient minimum area spacing algorithm for noise reduction Proceedings of the Ieee International Conference On Electronics, Circuits, and Systems. 2: 862-865. DOI: 10.1109/ICECS.2003.1301923  0.747
2003 Kourouma MK, Bayoumi MA. Improving capacity in Bluetooth ad-hoc networks Proceedings of the 7th International Conference On Telecommunications, Contel 2003. 1: 129-136. DOI: 10.1109/CONTEL.2003.176901  0.777
2002 Badawy W, Weeks M, Zhang G, Talley M, Bayoumi MA. MRI data compression using a 3-D discrete wavelet transform. Ieee Engineering in Medicine and Biology Magazine : the Quarterly Magazine of the Engineering in Medicine & Biology Society. 21: 95-103. PMID 12222123 DOI: 10.1109/Memb.2002.1032646  0.58
2002 Badawy W, Bayoumi MA. A low power VLSI architecture for mesh-based video motion tracking Ieee Transactions On Circuits and Systems Ii: Analog and Digital Signal Processing. 49: 488-504. DOI: 10.1109/TCSII.2002.805248  0.551
2002 Shams A, Pan W, Chidanandan A, Bayoumi MA. A low power high performance distributed DCT architecture Proceedings of Ieee Computer Society Annual Symposium On Vlsi, Isvlsi. 2002: 26-32. DOI: 10.1109/ISVLSI.2002.1016869  0.811
2002 Darwish T, Bayoumi M. Reducing the switching activity of modified SAFF flip-flop for low power applications Proceedings of the International Conference On Microelectronics, Icm. 2002: 96-99. DOI: 10.1109/ICM-02.2002.1161505  0.332
2002 Elgamel MA, Bayoumi MA. On low power high level synthesis using genetic algorithms Proceedings of the Ieee International Conference On Electronics, Circuits, and Systems. 2: 725-728. DOI: 10.1109/ICECS.2002.1046271  0.764
2002 Elgamel MA, Nallamilli BR, Bayoumi MA, Mashaly S. Systolic array architectures for full-search block matching motion estimation Proceedings - 3rd International Workshop On Digital and Computational Video, Dcv 2002. 108-115. DOI: 10.1109/DCV.2002.1218750  0.751
2002 Shams AM, Darwish TK, Bayoumi MA. Performance analysis of low-power 1-bit CMOS full adder cells Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 10: 20-29. DOI: 10.1109/92.988727  0.804
2002 Badawy W, Bayoumi M. Algorithm-based low-power VLSI architecture for 2-D mesh video-object motion tracking Ieee Transactions On Circuits and Systems For Video Technology. 12: 227-237. DOI: 10.1109/76.999201  0.611
2002 Badawy W, Bayoumi M. A multiplication-free algorithm and a parallel architecture for affine transformation Journal of Vlsi Signal Processing Systems For Signal, Image, and Video Technology. 31: 173-184. DOI: 10.1023/A:1015397423173  0.617
2002 El-Assal M, Bayoumi M. Low power SOVA architecture using bi-directional scheme Proceedings - Ieee International Symposium On Circuits and Systems. 1.  0.325
2001 Mahmoud HA, Bayoumi MA. An efficient low-bit rate adaptive mesh-based motion compensation technique Proceedings of Spie - the International Society For Optical Engineering. 4388: 143-151. DOI: 10.1117/12.438252  0.634
2001 Fayed AA, Bayoumi MA. A novel architecture for low-power design of parallel multipliers Proceedings - Ieee Computer Society Workshop On Vlsi, Wvlsi 2001. 149-154. DOI: 10.1109/IWV.2001.923154  0.708
2001 Elgamel MA, Shams AM, Xueling X, Bayoumi MA. Enhanced low power motion estimation VLSI architectures for video compression Iscas 2001 - 2001 Ieee International Symposium On Circuits and Systems, Conference Proceedings. 4: 474-477. DOI: 10.1109/ISCAS.2001.922277  0.821
2001 Badawy W, Bayoumi M. A mesh based motion tracking architecture Materials Research Society Symposium - Proceedings. 626. DOI: 10.1109/ISCAS.2001.922222  0.534
2001 Fayed AA, Bayoumi MA. A low power 10-transistor full adder cell for embedded architectures Materials Research Society Symposium - Proceedings. 626. DOI: 10.1109/ISCAS.2001.922213  0.702
2001 Shams AM, Elgamel MA, Bayoumi MA. Hybrid mesh-based/block-based motion compensation architecture Proceedings - 2nd International Workshop On Digital and Computational Video, Dcv 2001. 194-201. DOI: 10.1109/DCV.2001.929960  0.798
2001 Mahmoud HA, Bayoumi MA. An efficient low-bit rate adaptive mesh-based motion compensation technique Proceedings - 2nd International Workshop On Digital and Computational Video, Dcv 2001. 164-172. DOI: 10.1109/DCV.2001.929956  0.637
2000 Badawy W, Bayoumi M. Low power VLSI architecture for 2D-mesh video object motion tracking Proceedings - Ieee Computer Society Workshop On Vlsi 2000: System Design For a System-On-Chip Era, Iwv 2000. 67-72. DOI: 10.1109/IWV.2000.844532  0.578
2000 Badawy W, Bayoumi M. A scalable affine core for meshased video object motion compensation Proceedings of the Ieee International Conference On Electronics, Circuits, and Systems. 2: 663-666. DOI: 10.1109/ICECS.2000.912965  0.498
2000 Mahmoud HA, Bayoumi MA, Wilson B. A low power architecture for a new efficient block-matching motion estimation algorithm Midwest Symposium On Circuits and Systems. 1: 436-439. DOI: 10.1109/ICCT.2000.890882  0.646
2000 Shams AM, Bayoumi MA. A novel high-Performance CMOS 1-bit full-adder cell Ieee Transactions On Circuits and Systems Ii: Analog and Digital Signal Processing. 47: 478-481. DOI: 10.1109/82.842117  0.753
2000 Mahmoud HA, Bayoumi M. A new block-matching motion estimation algorithm based on successive elimination Ieee International Conference On Image Processing. 3: [d]608-611.  0.636
2000 Mahmoud HA, Bayoumi M. An efficient low-bit rate motion compensation technique based on quadtree Ieee International Conference On Multi-Media and Expo. 213-216.  0.63
1999 Badawy W, Bayoumi M. A low power and high performance core for planar object overlaying Proceedings of the Ieee International Conference On Electronics, Circuits, and Systems. 2: 621-624. DOI: 10.1109/ICECS.1999.813184  0.581
1995 Elleithy KM, Bayoumi MA. A Systolic Architecture for Modulo Multiplication Ieee Transactions On Circuits and Systems Ii: Analog and Digital Signal Processing. 42: 725-729. DOI: 10.1109/82.475251  0.319
1987 Bayoumi M, Jullien G, Miller W. A look-up table VLSI design methodology for RNS structures used in DSP applications Ieee Transactions On Circuits and Systems. 34: 604-616. DOI: 10.1109/Tcs.1987.1086188  0.405
1987 Bayoumi M, Jullien G, Miller W. A VLSI implementation of residue adders Ieee Transactions On Circuits and Systems. 34: 284-288. DOI: 10.1109/Tcs.1987.1086130  0.402
Show low-probability matches.