Nikil Dutt - Publications

Affiliations: 
University of California, Irvine, Irvine, CA 
Area:
Computer Science

132/391 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Balaji A, Catthoor F, Das A, Wu Y, Huynh K, Dell'Anna FG, Indiveri G, Krichmar JL, Dutt ND, Schaafsma S. Mapping Spiking Neural Networks to Neuromorphic Hardware Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 28: 76-86. DOI: 10.1109/Tvlsi.2019.2951493  0.585
2020 Maity B, Shoushtari M, Rahmani AM, Dutt N. Self-Adaptive Memory Approximation: A Formal Control Theory Approach Ieee Embedded Systems Letters. 12: 33-36. DOI: 10.1109/Les.2019.2941018  0.383
2019 Beyeler M, Rounds EL, Carlson KD, Dutt N, Krichmar JL. Neural correlates of sparse coding and dimensionality reduction. Plos Computational Biology. 15: e1006908. PMID 31246948 DOI: 10.1371/Journal.Pcbi.1006908  0.75
2019 Jeong G, Lee K, Choi S, Ji S, Dutt N. Effect of Soft Errors in Iterative Learning Control and Compensation using Cross-layer Approach International Journal of Computers Communications & Control. 14: 359-374. DOI: 10.15837/Ijccc.2019.3.3513  0.534
2019 Moazzemi K, Maity B, Yi S, Rahmani AM, Dutt N. HESSLE-FREE Acm Transactions On Embedded Computing Systems. 18: 1-19. DOI: 10.1145/3358203  0.342
2019 Yang L, Liu W, Guan N, Dutt N. Optimal Application Mapping and Scheduling for Network-on-Chips with Computation in STT-RAM Based Router Ieee Transactions On Computers. 68: 1174-1189. DOI: 10.1109/Tc.2018.2864749  0.463
2019 Balaji A, Song S, Das A, Dutt N, Krichmar J, Kandasamy N, Catthoor F. A Framework to Explore Workload-Specific Performance and Lifetime Trade-offs in Neuromorphic Computing Ieee Computer Architecture Letters. 18: 149-152. DOI: 10.1109/Lca.2019.2951507  0.643
2018 Detorakis G, Sheik S, Augustine C, Paul S, Pedroni BU, Dutt N, Krichmar J, Cauwenberghs G, Neftci E. Neural and Synaptic Array Transceiver: A Brain-Inspired Computing Framework for Embedded Learning. Frontiers in Neuroscience. 12: 583. PMID 30210274 DOI: 10.3389/Fnins.2018.00583  0.555
2018 Das A, Pradhapan P, Groenendaal W, Adiraju P, Rajan RT, Catthoor F, Schaafsma S, Krichmar JL, Dutt N, Van Hoof C. Unsupervised heart-rate estimation in wearables with Liquid states and a probabilistic readout. Neural Networks : the Official Journal of the International Neural Network Society. 99: 134-147. PMID 29414535 DOI: 10.1016/J.Neunet.2017.12.015  0.577
2018 Shoushtari M, Donyanavard B, Bathen LAD, Dutt N. ShaVe-ICE Acm Transactions On Embedded Computing Systems. 17: 1-25. DOI: 10.1145/3157667  0.397
2018 Park J, Hsieh C, Dutt N, Lim S. Synergistic CPU-GPU Frequency Capping for Energy-Efficient Mobile Games Acm Transactions On Embedded Computing Systems. 17: 1-24. DOI: 10.1145/3145337  0.36
2018 Liu W, Yang L, Jiang W, Feng L, Guan N, Zhang W, Dutt N. Thermal-Aware Task Mapping on Dynamically Reconfigurable Network-on-Chip Based Multiprocessor System-on-Chip Ieee Transactions On Computers. 67: 1818-1834. DOI: 10.1109/Tc.2018.2844365  0.344
2018 Rahmani AM, Jantsch A, Dutt N. HDGM: Hierarchical Dynamic Goal Management for Many-Core Resource Allocation Ieee Embedded Systems Letters. 10: 61-64. DOI: 10.1109/Les.2017.2751522  0.328
2018 Hsieh C, Park J, Dutt N, Lim S. MEMCOP: memory-aware co-operative power management governor for mobile games Design Automation For Embedded Systems. 22: 95-116. DOI: 10.1007/S10617-018-9201-8  0.385
2017 Azimi I, Anzanpour A, Rahmani AM, Pahikkala T, Levorato M, Liljeberg P, Dutt N. HiCH Acm Transactions On Embedded Computing Systems. 16: 1-20. DOI: 10.1145/3126501  0.345
2017 Kanduri A, Haghbayan M, Rahmani AM, Liljeberg P, Jantsch A, Tenhunen H, Dutt N. Accuracy-Aware Power Management for Many-Core Systems Running Error-Resilient Applications Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 25: 2749-2762. DOI: 10.1109/Tvlsi.2017.2694388  0.363
2017 Jantsch A, Dutt N. Guest Editorial: Special Issue on Self-Aware Systems on Chip Ieee Design & Test. 34: 6-7. DOI: 10.1109/Mdat.2017.2766604  0.305
2017 Shoushtari M, Dutt N. SAM: Software-Assisted Memory Hierarchy for Scalable Manycore Embedded Systems Ieee Embedded Systems Letters. 9: 109-112. DOI: 10.1109/Les.2017.2748098  0.403
2016 Beyeler M, Dutt N, Krichmar JL. 3D Visual Response Properties of MSTd Emerge from an Efficient, Sparse Population Code. The Journal of Neuroscience : the Official Journal of the Society For Neuroscience. 36: 8399-415. PMID 27511012 DOI: 10.1523/Jneurosci.0396-16.2016  0.762
2016 Jeong G, Park C, Choi S, Lee K, Dutt N. Robust Face Recognition Against Soft-errors Using a Cross-layer Approach International Journal of Computers Communications & Control. 11: 657. DOI: 10.15837/Ijccc.2016.5.2020  0.534
2016 Tajik H, Donyanavard B, Dutt N, Jahn J, Henkel J. SPMPool Acm Transactions On Embedded Computing Systems. 16: 1-27. DOI: 10.1145/2968447  0.397
2016 Dutt N, Jantsch A, Sarma S. Toward Smart Embedded Systems Acm Transactions On Embedded Computing Systems. 15: 1-27. DOI: 10.1145/2872936  0.349
2016 Shrivastava A, Dutt N, Cai J, Shoushtari M, Donyanavard B, Tajik H. Automatic management of Software Programmable Memories in Many-core Architectures Iet Computers & Digital Techniques. 10: 288-298. DOI: 10.1049/Iet-Cdt.2016.0024  0.54
2015 Beyeler M, Oros N, Dutt N, Krichmar JL. A GPU-accelerated cortical neural network model for visually guided robot navigation. Neural Networks : the Official Journal of the International Neural Network Society. PMID 26494281 DOI: 10.1016/J.Neunet.2015.09.005  0.759
2015 Gottscho M, BanaiyanMofrad A, Dutt N, Nicolau A, Gupta P. DPCS Acm Transactions On Architecture and Code Optimization. 12: 1-26. DOI: 10.1145/2792982  0.362
2015 Banaiyanmofrad A, Homayoun H, Dutt N. Using a Flexible Fault-Tolerant Cache to Improve Reliability for Ultra Low Voltage Operation Acm Transactions On Embedded Computing Systems. 14: 1-24. DOI: 10.1145/2629566  0.335
2015 Krichmar JL, Coussy P, Dutt N. Large-scale spiking neural networks using neuromorphic hardware compatible models Acm Journal On Emerging Technologies in Computing Systems. 11. DOI: 10.1145/2629509  0.643
2015 Gottscho M, Bathen LAD, Dutt N, Nicolau A, Gupta P. ViPZonE: Hardware power variability-aware virtual memory management for energy savings Ieee Transactions On Computers. 64: 1483-1496. DOI: 10.1109/Tc.2014.2329675  0.425
2015 Shoushtari M, BanaiyanMofrad A, Dutt N. Exploiting Partially-Forgetful Memories for Approximate Computing Ieee Embedded Systems Letters. 7: 19-22. DOI: 10.1109/Les.2015.2393860  0.387
2015 Beyeler M, Carlson KD, Chou TS, Dutt N, Krichmar JL. CARLsim 3: A user-friendly and highly optimized library for the creation of neurobiologically detailed spiking neural networks Proceedings of the International Joint Conference On Neural Networks. 2015. DOI: 10.1109/IJCNN.2015.7280424  0.732
2014 Carlson KD, Nageswaran JM, Dutt N, Krichmar JL. An efficient automated parameter tuning framework for spiking neural networks. Frontiers in Neuroscience. 8: 10. PMID 24550771 DOI: 10.3389/Fnins.2014.00010  0.641
2014 Beyeler M, Richert M, Dutt ND, Krichmar JL. Efficient spiking neural network model of pattern motion selectivity in visual cortex. Neuroinformatics. 12: 435-54. PMID 24497233 DOI: 10.1007/S12021-014-9220-Y  0.78
2014 Avery MC, Dutt N, Krichmar JL. Mechanisms underlying the basal forebrain enhancement of top-down and bottom-up attention. The European Journal of Neuroscience. 39: 852-65. PMID 24304003 DOI: 10.1111/Ejn.12433  0.576
2014 Chakraborty A, Homayoun H, Khajeh A, Dutt N, Eltawil A, Kurdahi F. Multicopy cache: A highly energy-efficient cache architecture Acm Transactions On Embedded Computing Systems. 13. DOI: 10.1145/2632162  0.369
2014 Banaiyanmofrad A, Girão G, Dutt N. NoC-based fault-tolerant cache design in chip multiprocessors Acm Transactions On Embedded Computing Systems. 13: 1-26. DOI: 10.1145/2567939  0.369
2014 Carlson KD, Beyeler M, Dutt N, Krichmar JL. GPGPU accelerated simulation and parameter tuning for neuromorphic applications Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 570-577. DOI: 10.1109/ASPDAC.2014.6742952  0.744
2013 Avery MC, Dutt N, Krichmar JL. A large-scale neural network model of the influence of neuromodulatory levels on working memory and behavior. Frontiers in Computational Neuroscience. 7: 133. PMID 24106474 DOI: 10.3389/Fncom.2013.00133  0.581
2013 Beyeler M, Dutt ND, Krichmar JL. Categorization and decision-making in a neurobiologically plausible spiking network using a STDP-like learning rule. Neural Networks : the Official Journal of the International Neural Network Society. 48: 109-24. PMID 23994510 DOI: 10.1016/J.Neunet.2013.07.012  0.779
2013 Bathen LAD, Ahn Y, Pasricha S, Dutt ND. MultiMaKe Acm Transactions On Embedded Computing Systems. 12: 1-25. DOI: 10.1145/2435227.2435255  0.572
2013 Gupta P, Agarwal Y, Dolecek L, Dutt N, Gupta RK, Kumar R, Mitra S, Nicolau A, Rosing TS, Srivastava MB, Swanson S, Sylvester D. Underdesigned and opportunistic computing in presence of hardware variability Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 32: 8-23. DOI: 10.1109/Tcad.2012.2223467  0.378
2013 Carlson KD, Richert M, Dutt N, Krichmar JL. Biologically plausible models of homeostasis and STDP: Stability and learning in spiking neural networks Proceedings of the International Joint Conference On Neural Networks. DOI: 10.1109/IJCNN.2013.6706961  0.527
2013 Bathen LAD, Shin D, Lim S, Dutt ND. Virtualizing on-chip distributed ScratchPad memories for low power and trusted application execution Design Automation For Embedded Systems. 17: 377-409. DOI: 10.1007/S10617-012-9100-3  0.344
2012 Tanimura K, Dutt ND. LRCG: Latch-based Random Clock-Gating for preventing power analysis side-channel attacks Codes+Isss'12 - Proceedings of the 10th Acm International Conference On Hardware/Software-Codesign and System Synthesis, Co-Located With Esweek. 453-462. DOI: 10.1145/2380445.2380515  0.487
2012 Gordon-Ross A, Vahid F, Dutt N. Combining code reordering and cache configuration Transactions On Embedded Computing Systems. 11. DOI: 10.1145/2362336.2399177  0.385
2012 Kim M, Stehr M, Talcott C, Dutt N, Venkatasubramanian N. xTune Acm Transactions On Embedded Computing Systems. 11: 1-23. DOI: 10.1145/2362336.2362340  0.343
2012 Bathen LA, Dutt N. HaVOC: A hybrid memory-aware virtualization layer for on-chip distributed ScratchPad and non-volatile memories Proceedings - Design Automation Conference. 447-452. DOI: 10.1145/2228360.2228438  0.785
2012 Lee K, Dutt N, Venkatasubramanian N. EAVE: Error-aware video encoding supporting extended energy/QoS trade-offs for mobile embedded systems Transactions On Embedded Computing Systems. 11. DOI: 10.1145/2220336.2220349  0.581
2012 Khajeh A, Kim M, Dutt N, Eltawil AM, Kurdahi FJ. Error-aware algorithm/architecture coexploration for video over wireless applications Transactions On Embedded Computing Systems. 11. DOI: 10.1145/2180887.2180892  0.383
2012 Ansaloni G, Tanimura K, Pozzi L, Dutt N. Integrated kernel partitioning and scheduling for coarse-grained reconfigurable arrays Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 31: 1803-1816. DOI: 10.1109/Tcad.2012.2209886  0.583
2012 Xue CJ, Dutt N. Guest editorial special section on memory architectures and organization Ieee Embedded Systems Letters. 4: 81. DOI: 10.1109/Les.2012.2227452  0.35
2012 Tanimura K, Dutt ND. HDRL: Homogeneous dual-rail logic for DPA attack resistive secure circuit design Ieee Embedded Systems Letters. 4: 57-60. DOI: 10.1109/LES.2012.2193115  0.489
2012 Avery M, Krichmar JL, Dutt N. Spiking neuron model of basal forebrain enhancement of visual attention Proceedings of the International Joint Conference On Neural Networks. DOI: 10.1109/IJCNN.2012.6252578  0.522
2011 Richert M, Nageswaran JM, Dutt N, Krichmar JL. An efficient simulation environment for modeling large-scale cortical processing. Frontiers in Neuroinformatics. 5: 19. PMID 22007166 DOI: 10.3389/Fninf.2011.00019  0.607
2011 Park Y, Pasricha S, Kurdahi FJ, Dutt N. A Multi-Granularity Power Modeling Methodology for Embedded Processors Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 19: 668-681. DOI: 10.1109/Tvlsi.2009.2039153  0.598
2011 Krichmar JL, Dutt N, Nageswaran JM, Richert M. Neuromorphic modeling abstractions and simulation of large-scale cortical networks Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 334-338. DOI: 10.1109/ICCAD.2011.6105350  0.554
2010 Banerjee S, Bozorgzadeh E, Noguera J, Dutt N. Bandwidth management in application mapping for dynamically reconfigurable architectures Acm Transactions On Reconfigurable Technology and Systems. 3. DOI: 10.1145/1839480.1839488  0.587
2010 Lee K, Shrivastava A, Dutt N, Venkatasubramanian N. Partitioning techniques for partially protected caches in resource-constrained embedded systems Acm Transactions On Design Automation of Electronic Systems. 15. DOI: 10.1145/1835420.1835423  0.678
2010 Nageswaran JM, Richert M, Dutt N, Krichmar JL. Towards reverse engineering the brain: Modeling abstractions and simulation frameworks Proceedings of the 2010 18th Ieee/Ifip International Conference On Vlsi and System-On-Chip, Vlsi-Soc 2010. 1-6. DOI: 10.1109/VLSISOC.2010.5642630  0.541
2010 Pasricha S, Kurdahi FJ, Dutt N. Evaluating Carbon Nanotube Global Interconnects for Chip Multiprocessor Applications Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 18: 1376-1380. DOI: 10.1109/Tvlsi.2009.2024118  0.539
2010 Pasricha S, Park Y, Kurdahi FJ, Dutt N. CAPPS: A Framework for Power–Performance Tradeoffs in Bus-Matrix-Based On-Chip Communication Architecture Synthesis Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 18: 209-221. DOI: 10.1109/Tvlsi.2008.2009304  0.619
2010 Tanimura K, Dutt N. ExCCel: Exploration of complementary cells for efficient DPA attack resistivity Proceedings of the 2010 Ieee International Symposium On Hardware-Oriented Security and Trust, Host 2010. 52-55. DOI: 10.1109/HST.2010.5513113  0.51
2009 Nageswaran JM, Dutt N, Krichmar JL, Nicolau A, Veidenbaum AV. A configurable simulation environment for the efficient simulation of large-scale spiking neural networks on graphics processors. Neural Networks : the Official Journal of the International Neural Network Society. 22: 791-800. PMID 19615853 DOI: 10.1016/J.Neunet.2009.06.028  0.645
2009 Reshadi M, Mishra P, Dutt N. Hybrid-compiled simulation: An efficient technique for instruction-set architecture simulation Transactions On Embedded Computing Systems. 8. DOI: 10.1145/1509288.1509292  0.694
2009 Pasricha S, Park Y, Dutt N, Kurdahi FJ. System-level PVT variation-aware power exploration of on-chip communication architectures Acm Transactions On Design Automation of Electronic Systems. 14: 1-25. DOI: 10.1145/1497561.1497563  0.558
2009 Banerjee S, Bozorgzadeh E, Dutt N. Exploiting application data-parallelism on dynamically reconfigurable architectures: Placement and architectural considerations Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 17: 234-247. DOI: 10.1109/Tvlsi.2008.2003490  0.583
2009 Lee K, Shrivastava A, Issenin I, Dutt N, Venkatasubramanian N. Partially Protected Caches to Reduce Failures Due to Soft Errors in Multimedia Applications Ieee Transactions On Very Large Scale Integration Systems. 17: 1343-1347. DOI: 10.1109/Tvlsi.2008.2002427  0.791
2009 Madl G, Pasricha S, Dutt N, Abdelwahed S. Cross-abstraction functional verification and performance analysis of chip multiprocessor designs Ieee Transactions On Industrial Informatics. 5: 241-256. DOI: 10.1109/Tii.2009.2026896  0.805
2009 Shrivastava A, Issenin I, Dutt N, Park S, Paek Y. Compiler-in-the-Loop Design Space Exploration Framework for Energy Reduction in Horizontally Partitioned Cache Architectures Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 28: 461-465. DOI: 10.1109/Tcad.2009.2013275  0.789
2009 Madl G, Dutt N, Abdelwahed S. A conservative approximation method for the verification of preemptive scheduling using timed automata Proceedings of the Ieee Real-Time and Embedded Technology and Applications Symposium, Rtas. 255-264. DOI: 10.1109/RTAS.2009.32  0.762
2009 Nageswaran JM, Dutt N, Krichmar JL, Nicolau A, Veidenbaum A. Efficient simulation of large-scale spiking Neural networks using cuda Graphics processors Proceedings of the International Joint Conference On Neural Networks. 2145-2152. DOI: 10.1109/IJCNN.2009.5179043  0.568
2009 Moorkanikara Nageswaran J, Felch A, Chandrasekhar A, Dutt N, Granger R, Nicolau A, Veidenbaum A. Brain derived vision algorithm on high performance architectures International Journal of Parallel Programming. 37: 345-369. DOI: 10.1007/S10766-009-0106-9  0.339
2008 Pasricha S, Dutt N. Trends in emerging On-chip interconnect technologies Ipsj Transactions On System Lsi Design Methodology. 1: 2-17. DOI: 10.2197/Ipsjtsldm.1.2  0.507
2008 Mishra P, Dutt N. Specification-driven directed test generation for validation of pipelined processors Acm Transactions On Design Automation of Electronic Systems. 13. DOI: 10.1145/1367045.1367051  0.32
2008 Madl G, Dutt N. Real-time analysis of resource-constrained distributed systems by simulation-guided model checking Acm Sigbed Review. 5: 1-2. DOI: 10.1145/1366283.1366290  0.784
2008 Pasricha S, Dutt N, Ben-Romdhane M. Fast exploration of bus-based communication architectures at the CCATB abstraction Transactions On Embedded Computing Systems. 7. DOI: 10.1145/1331331.1331346  0.6
2008 Kim M, Banerjee S, Dutt N, Venkatasubramanian N. Energy-aware cosynthesis of real-time multimedia applications on MPSoCs using heterogeneous scheduling policies Transactions On Embedded Computing Systems. 7. DOI: 10.1145/1331331.1331333  0.581
2008 Issenin I, Brockmeyer E, Durinck B, Dutt N. Data-Reuse-Driven Energy-Aware Cosynthesis of Scratch Pad Memory and Hierarchical Bus-Based Communication Architecture for Multiprocessor Streaming Applications Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 27: 1439-1452. DOI: 10.1109/Tcad.2008.925781  0.812
2008 Park S, Shrivastava A, Dutt N, Nicolau A, Paek Y, Earlie E. Register File Power Reduction Using Bypass Sensitive Compiler Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 27: 1155-1159. DOI: 10.1109/Tcad.2008.923254  0.542
2008 Pasricha S, Dutt N. ORB: An on-chip optical ring bus communication architecture for multi-processor systems-on-chip Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 789-794. DOI: 10.1109/ASPDAC.2008.4484059  0.505
2008 Mishra P, Dutt N. EXPRESSION: An ADL for Software Toolkit Generation, Exploration, and Validation of Programmable SOC Architectures Processor Description Languages. 133-161. DOI: 10.1016/B978-012374287-2.50009-4  0.348
2008 Issenin I, Dutt N. Using FORAY models to enable MPSoC memory optimizations International Journal of Parallel Programming. 36: 93-113. DOI: 10.1007/S  0.805
2007 Madl G, Dutt N, Abdelwahed S. Performance estimation of distributed real-time embedded systems by discrete event simulations Emsoft'07: Proceedings of the Seventh Acm and Ieee International Conference On Embedded Software. 183-192. DOI: 10.1145/1289927.1289958  0.762
2007 Issenin I, Brockmeyer E, Miranda M, Dutt N. DRDU Acm Transactions On Design Automation of Electronic Systems. 12: 15. DOI: 10.1145/1230800.1230807  0.795
2007 Pasricha S, Dutt N, Ben-Romdhane M. BMSYN: Bus Matrix Communication Architecture Synthesis for MPSoC Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 26: 1454-1464. DOI: 10.1109/Tcad.2007.891376  0.536
2007 Biswas P, Dutt ND, Pozzi L, Ienne P. Introduction of architecturally visible storage in instruction set extensions Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 26: 435-445. DOI: 10.1109/TCAD.2006.890582  0.333
2007 Pasricha S, Dutt ND. A framework for cosynthesis of memory and communication architectures for MPSoC Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 26: 408-420. DOI: 10.1109/Tcad.2006.884487  0.571
2007 Mohapatra S, Dutt N, Nicolau A, Venkatasubramanian N. DYNAMO: A cross-layer framework for end-to-end QoS and energy optimization in mobile handheld devices Ieee Journal On Selected Areas in Communications. 25: 722-737. DOI: 10.1109/Jsac.2007.070509  0.353
2007 Shin C, Grun P, Romdhane N, Lennard C, Madl G, Pasricha S, Dutt N, Noll M. Enabling heterogeneous cycle-based and event-driven simulation in a design flow integrated using the SPIRIT consortium specifications Design Automation For Embedded Systems. 11: 119-140. DOI: 10.1007/S10617-007-9003-X  0.784
2006 Madl G, Pasricha S, Bathen LAD, Dutt N, Zhu Q. Formal performance evaluation of AMBA-based system-on-chip designs Ieee International Conference On Embedded Software, Emsoft 2006. 311-320. DOI: 10.1145/1176887.1176932  0.784
2006 Issenin I, Dutt N. Data reuse driven energy-aware MPSoC co-synthesis of memory and communication architecture for streaming applications Codes+Isss 2006: Proceedings of the 4th International Conference On Hardware Software Codesign and System Synthesis. 294-299. DOI: 10.1145/1176254.1176326  0.329
2006 Reshadi M, Dutt N, Mishra P. A retargetable framework for instruction-set architecture simulation Acm Transactions On Embedded Computing Systems. 5: 431-452. DOI: 10.1145/1151074.1151083  0.405
2006 Kim M, Oh H, Dutt N, Nicolau A, Venkatasubramanian N. PBPAIR Acm Sigmobile Mobile Computing and Communications Review. 10: 58-69. DOI: 10.1145/1148094.1148100  0.321
2006 Shrivastava A, Biswas P, Halambi A, Dutt N, Nicolau A. Compilation framework for code size reduction using reduced bit-width ISAs (rISAs) Acm Transactions On Design Automation of Electronic Systems. 11: 123-146. DOI: 10.1145/1124713.1124722  0.543
2006 Biswas P, Banerjee S, Dutt N, Ienne P, Pozzi L. Performance and energy benefits of instruction set extensions in an FPGA soft core Proceedings of the Ieee International Conference On Vlsi Design. 2006: 651-656. DOI: 10.1109/VLSID.2006.131  0.325
2006 Pasricha S, Dutt ND, Bozorgzadeh E, Ben-Romdhane M. FABSYN: Floorplan-aware bus architecture synthesis Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 14: 241-253. DOI: 10.1109/Tvlsl2006.871763  0.545
2006 Banerjee S, Bozorgzadeh E, Dutt ND. Integrating physical constraints in HW-SW partitioning for architectures with partial dynamic reconfiguration Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 14: 1189-1202. DOI: 10.1109/Tvlsi.2006.886411  0.503
2006 Shrivastava A, Earlie E, Dutt N, Nicolau A. Retargetable pipeline hazard detection for partially bypassed processors Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 14: 791-801. DOI: 10.1109/Tvlsi.2006.878468  0.536
2006 Reshadi M, Gorjiara B, Dutt ND. Generic processor modeling for automatically generating very fast cycle-accurate simulators Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 25: 2904-2918. DOI: 10.1109/TCAD.2006.882597  0.809
2006 Madl G, Dutt N. Domain-specific modeling of power aware distributed real-time embedded systems Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). 4017: 59-68. DOI: 10.1007/11796435_8  0.763
2006 Pasricha S, Dutt N. COSMECA: Application specific co-synthesis of memory and communication architectures for MPSoC Proceedings -Design, Automation and Test in Europe, Date. 1.  0.572
2005 Reshadi M, Dutt N. Generic pipelined processor modeling and high performance cycle-accurate simulator generation Proceedings -Design, Automation and Test in Europe, Date '05. 786-791. DOI: 10.1109/DATE.2005.166  0.691
2005 Mishra P, Dutt N. Architecture description languages for programmable embedded systems Iee Proceedings: Computers and Digital Techniques. 152: 285-297. DOI: 10.1049/ip-cdt:20045071  0.319
2005 Kandemir M, Dutt N. Memory Systems and Compiler Support for MPSoC Architectures Multiprocessor Systems-On-Chips. 251-281. DOI: 10.1016/B978-012385251-9/50024-4  0.319
2005 Mishra P, Dutt ND. Functional verification of programmable embedded architectures: A top-down approach Functional Verification of Programmable Embedded Architectures: a Top-Down Approach. 1-180. DOI: 10.1007/b137514  0.312
2004 Mishra P, Mamidipaka M, Dutt N. Processor-memory coexploration using an architecture description language Acm Transactions On Embedded Computing Systems. 3: 140-162. DOI: 10.1145/972627.972634  0.784
2004 Mishra P, Dutt N. Modeling and validation of pipeline specifications Acm Transactions On Embedded Computing Systems. 3: 114-139. DOI: 10.1145/972627.972633  0.422
2004 Gupta S, Savoiu N, Dutt N, Gupta R, Nicolau A. Using Global Code Motions to Improve the Quality of Results for High-Level Synthesis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 23: 302-312. DOI: 10.1109/Tcad.2003.822105  0.762
2004 Pasricha S, Luthra M, Mohapatra S, Dutt N, Venkatasubramanian N. Dynamic backlight adaptation for low-power handheld devices Ieee Design and Test of Computers. 21: 398-405. DOI: 10.1109/Mdt.2004.57  0.535
2004 Mishra P, Dutt N, Krishnamurthy N, Ababir MS. A top-down methodology for microprocessor validation Ieee Design & Test of Computers. 21: 122-131. DOI: 10.1109/Mdt.2004.1277905  0.385
2004 Mishra P, Dutt N. Functional validation of programmable architectures Proceedings of the Euromicro Systems On Digital System Design, Dsd 2004. 12-19. DOI: 10.1109/DSD.2004.1333253  0.329
2004 Gordon-Ross A, Vahid F, Dutt N. Automatic tuning of two-level caches to embedded applications Proceedings - Design, Automation and Test in Europe Conference and Exhibition. 1: 208-213. DOI: 10.1109/DATE.2004.1268850  0.344
2004 Shrivastava A, Dutt N. Energy efficient code generation exploiting reduced Bit-width instruction set architectures (rISA) Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 475-477.  0.55
2003 Reshadi M, Bansal N, Mishra P, Dutt N. An Efficient Retargetable Framework for Instruction-Set Simulation Hardware/Software Codesign - Proceedings of the International Workshop. 13-18. DOI: 10.1145/944645.944649  0.695
2003 Grun P, Dutt N, Nicolau A. Access pattern-based memory and connectivity architecture exploration Acm Transactions On Embedded Computing Systems (Tecs). 2: 33-73. DOI: 10.1145/605459.605462  0.788
2003 Mamidipaka MN, Hirschberg DS, Dutt ND. Adaptive Low-Power Address Encoding Techniques Using Self-Organizing Lists Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 11: 827-834. DOI: 10.1109/Tvlsi.2003.814325  0.77
2003 Grun P, Halambi A, Dutt N, Nicolau A. RTGEN-an algorithm for automatic generation of reservation tables from architectural descriptions Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 11: 731-737. DOI: 10.1109/Tvlsi.2003.813011  0.783
2003 Mishra P, Dutt N. A methodology for validation of microprocessors using equivalence checking Proceedings - International Workshop On Microprocessor Test and Verification. 2003: 83-88. DOI: 10.1109/MTV.2003.1250267  0.308
2003 Dutt N, Choi K. Configurable processors for embedded computing Computer. 36: 120-123. DOI: 10.1109/Mc.2003.1160063  0.409
2003 Mishra P, Kejariwal A, Dutt N. Rapid exploration of pipelined processors through automatic generation of synthesizable RTL models Proceedings of the International Workshop On Rapid System Prototyping. 2003: 226-232. DOI: 10.1109/IWRSP.2003.1207052  0.334
2003 Mamidipaka MN, Dutt ND, Khouri KS. A methodology for accurate modeling of energy dissipation in array structures Proceedings of the Ieee International Conference On Vlsi Design. 2003: 320-325. DOI: 10.1109/ICVD.2003.1183157  0.776
2003 Mamidipaka M, Dutt N. On-chip stack based memory organization for low power embedded architectures Proceedings -Design, Automation and Test in Europe, Date. 1082-1087. DOI: 10.1109/DATE.2003.1253748  0.325
2003 Mishra P, Dutt N, Tomiyama H. Towards Automatic Validation of Dynamic Behavior in Pipelined Processor Specifications Design Automation For Embedded Systems. 8: 249-265. DOI: 10.1023/B:Daem.0000003965.80744.1C  0.413
2003 Reshadi M, Dutt N. Reducing compilation time overhead in compiled simulators Proceedings - Ieee International Conference On Computer Design: Vlsi in Computers and Processors. 151-153.  0.678
2002 Mishra P, Tomiyama H, Dutt N, Nicolau A. Automatic verification of in-order execution in microprocessors with fragmented pipelines and multicycle functional units Proceedings -Design, Automation and Test in Europe, Date. 36-43. DOI: 10.1109/DATE.2002.998247  0.31
2001 Khare A, Halambi A, Savoiu N, Grun P, Dutt N, Nicolau A. V-SAT: A visual specification and analysis tool for system-on-chip exploration Journal of Systems Architecture. 47: 263-275. DOI: 10.1016/S1383-7621(00)00049-7  0.765
2001 Grun P, Dutt N, Nicolau A. APEX: Access pattern based memory architecture exploration Proceedings of the International Symposium On System Synthesis. 25-32.  0.318
2001 Mishra P, Dutt N, Nicolau A. Functional abstraction driven design space exploration of heterogeneous programmable architectures Proceedings of the International Symposium On System Synthesis. 256-261.  0.328
2000 Tomiyama H, Yoshino T, Dutt N. Verification of in-order execution in pipelined processors Proceedings - Ieee International High-Level Design Validation and Test Workshop, Hldvt. 2000: 40-44. DOI: 10.1109/HLDVT.2000.889557  0.304
1999 Panda PR, Dutt ND, Nicolau A. Local memory exploration and optimization in embedded systems Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 18: 3-13. DOI: 10.1109/43.739054  0.335
1996 Kolson DJ, Nicolau A, Dutt N, Kennedy K. Optimal register assignment to loops for embedded code generation Acm Transactions On Design Automation of Electronic Systems (Todaes). 1: 251-279. DOI: 10.1145/233539.233542  0.409
1995 Capitanio A, Nicolau A, Dutt N. A hypergraph-based model for port allocation on multiple-register-file VLIW architectures International Journal of Parallel Programming. 23: 499-513. DOI: 10.1007/Bf02577864  0.358
1990 Dutt ND, Gajski DD. Design synthesis and silicon compilation Ieee Design and Test of Computers. 7: 8-23. DOI: 10.1109/54.64954  0.514
Low-probability matches (unlikely to be authored by this person)
1998 Panda PR, Dutt ND, Nicolau A. Data cache sizing for embedded processor applications Proceedings -Design, Automation and Test in Europe, Date. 925-926. DOI: 10.1109/DATE.1998.655972  0.293
2019 Nejatollahi H, Dutt N, Ray S, Regazzoni F, Banerjee I, Cammarota R. Post-Quantum Lattice-Based Cryptography Implementations Acm Computing Surveys. 51: 1-41. DOI: 10.1145/3292548  0.293
2015 Sarma S, Dutt N. Cross-Layer Exploration of Heterogeneous Multicore Processor Configurations Proceedings of the Ieee International Conference On Vlsi Design. 2015: 147-152. DOI: 10.1109/VLSID.2015.30  0.288
2009 Gordon-Ross A, Vahid F, Dutt ND. Fast configurable-cache tuning with a unified second-level cache Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 17: 80-91. DOI: 10.1109/Tvlsi.2008.2002459  0.287
2007 Issenin I, Dutt N. Data reuse driven memory and network-on-chip co-synthesis Ifip International Federation For Information Processing. 231: 299-312. DOI: 10.1007/978-0-387-72258-0_26  0.282
2005 Issenin I, Dutt N. FORAY-GEN: Automatic generation of affine functions for memory optimizations Proceedings -Design, Automation and Test in Europe, Date '05. 808-813. DOI: 10.1109/DATE.2005.157  0.282
2006 Kim M, Banerjee S, Dutt N, Venkatasubramanian N. Design space exploration of real-time multi-media MPSoCs with heterogeneous scheduling policies Codes+Isss 2006: Proceedings of the 4th International Conference On Hardware Software Codesign and System Synthesis. 16-21. DOI: 10.1145/1176254.1176261  0.28
2014 Bathen LAD, Dutt ND. Embedded RAIDs-on-chip for bus-based chip-multiprocessors Acm Transactions On Embedded Computing Systems. 13. DOI: 10.1145/2533316  0.279
2005 Mishra P, Dutt N, Krishnamurthy N, Abadir M. A methodology for validation of microprocessors using symbolic simulation International Journal of Embedded Systems. 1: 14-22.  0.273
2015 Muck T, Sarma S, Dutt N. Run-DMC: Runtime dynamic heterogeneous multicore performance and power estimation for energy efficiency 2015 International Conference On Hardware/Software Codesign and System Synthesis, Codes+Isss 2015. 173-182. DOI: 10.1109/CODESISSS.2015.7331380  0.269
2001 Mishra P, Grun P, Dutt N, Nicolau A. Processor-memory co-exploration driven by a memory-aware architecture description language Proceedings of the Ieee International Conference On Vlsi Design. 70-75.  0.268
2014 Sarma S, Dutt N. FPGA emulation and prototyping of a cyberphysical-system-on-chip (CPSoC) Proceedings - Ieee International Symposium On Rapid System Prototyping, Rsp. 121-127. DOI: 10.1109/RSP.2014.6966902  0.265
2003 Cornea R, Dutt N, Gupta R, Krueger I, Nicolau A, Schmidt D, Shukla S. FORGE: A framework for optimization of distributed embedded systems software Proceedings - International Parallel and Distributed Processing Symposium, Ipdps 2003. DOI: 10.1109/IPDPS.2003.1213381  0.261
2003 Biswas P, Dutt N. Reducing code size for heterogeneous-connectivity-based VLIW DSPs through synthesis of instruction set extensions Cases 2003: International Conference On Compilers, Architecture, and Synthesis For Embedded Systems. 104-112.  0.257
2017 Jantsch A, Dutt N, Rahmani AM. Self-Awareness in Systems on Chip— A Survey Ieee Design & Test. 34: 8-26. DOI: 10.1109/Mdat.2017.2757143  0.256
1997 Panda PR, Dutt ND, Nicolau A. Memory data organization for improved cache performance in embedded processor applications Acm Transactions On Design Automation of Electronic Systems (Todaes). 2: 384-409. DOI: 10.1145/268424.268464  0.251
1999 Panda P, Nakamura H, Dutt N, Nicolau A. Augmenting loop tiling with data alignment for improved cache performance Ieee Transactions On Computers. 48: 142-149. DOI: 10.1109/12.752655  0.249
2004 Banerjee S, Dutt N. Efficient search space exploration for HW-SW partitioning Second Ieee/Acm/Ifip International Conference On Hardware/Software Codesign and Systems Synthesis, Codes+Isss 2004. 122-127.  0.249
1998 Panda PR, Dutt ND, Nicolau A. Incorporating DRAM access modes into high-level synthesis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 17: 96-109. DOI: 10.1109/43.681260  0.249
2004 Gupta S, Gupta RK, Dutt ND, Nicolau A. Coordinated parallelizing compiler optimizations and high-level synthesis Acm Transactions On Design Automation of Electronic Systems (Todaes). 9: 441-470. DOI: 10.1145/1027084.1027087  0.248
2000 Panda PR, Dutt ND, Nicolau A. On-chip vs. off-chip memory: the data partitioning problem in embedded processor-based systems Acm Transactions On Design Automation of Electronic Systems. 5: 682-704. DOI: 10.1145/348019.348570  0.247
2008 Lee JE, Choi K, Dutt N. Evaluating memory architectures for media applications on Coarse-grained Reconfigurable Architectures International Journal of Embedded Systems. 3: 119. DOI: 10.1504/IJES.2008.020293  0.247
2002 Mishra P, Dutt N. Automatic functional test program generation for pipelined processors using model checking Proceedings - Ieee International High-Level Design Validation and Test Workshop, Hldvt. 2002: 99-103. DOI: 10.1109/HLDVT.2002.1224436  0.247
2006 Biswas P, Banerjee S, Dutt ND, Pozzi L, Ienne P. ISEGEN: An iterative improvement-based ISE generation technique for fast customization of processors Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 14: 754-761. DOI: 10.1109/TVLSI.2006.878345  0.245
2007 Mishra P, Dutt N. Architecture description languages Customizable Embedded Processors. 59-76. DOI: 10.1016/B978-012369526-0/50005-X  0.244
1997 Panda PR, Dutt ND. Behavioral array mapping into multiport memories targeting low power Proceedings of the Ieee International Conference On Vlsi Design. 268-272.  0.243
2008 Mishra P, Dutt N. Processor Description Languages Processor Description Languages 0.243
2008 Dutt N. Memory-aware NoC exploration and design Proceedings -Design, Automation and Test in Europe, Date. 1128-1129. DOI: 10.1109/DATE.2008.4484829  0.242
2006 Banerjee S, Bozorgzadeh E, Noguera J, Dutt N. Minimizing peak power for application chains on architectures with partial dynamic reconfiguration Proceedings - 2006 Ieee International Conference On Field Programmable Technology, Fpt 2006. 273-276. DOI: 10.1109/FPT.2006.270326  0.241
2002 Azevedo A, Issenin I, Cornea R, Gupta R, Dutt N, Veidenbaum A, Nicolau A. Profile-based dynamic voltage scheduling using program checkpoints Proceedings -Design, Automation and Test in Europe, Date. 168-175. DOI: 10.1109/DATE.2002.998266  0.241
2009 Dutt N, Teich J. CODES+ISSS 2007 guest editors' introduction Design Automation For Embedded Systems. 13: 51-52. DOI: 10.1007/S10617-008-9036-9  0.24
2003 Gupta S, Dutt N, Gupta R, Nicolau A. Dynamically increasing the scope of code motions during the high-level synthesis of digital circuits Iee Proceedings: Computers and Digital Techniques. 150: 330-337. DOI: 10.1049/ip-cdt:20030839  0.24
2020 Monazzah AMH, Rahmani AM, Miele A, Dutt N. CAST: Content-Aware STT-MRAM Cache Write Management for Different Levels of Approximation Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 1-1. DOI: 10.1109/Tcad.2020.2986320  0.239
2020 Peroni D, Imani M, Nejatollahi H, Dutt N, Rosing T. Data Reuse for Accelerated Approximate Warps Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 1-1. DOI: 10.1109/Tcad.2020.2986128  0.239
2010 Chakraborty A, Homayoun H, Khajeh A, Dutt N, Eltawil A, Kurdahi F. E < MC2: Less energy through multi-copy cache Embedded Systems Week 2010 - Proceedings of the 2010 International Conference On Compilers, Architecture and Synthesis For Embedded Systems, Cases'10. 237-246. DOI: 10.1145/1878921.1878956  0.238
2008 Khajeh A, Kim M, Dutt N, Eltawil AM, Kurdahi FJ. Cross-layer co-exploration of exploiting error resilience for video over wireless applications Proceedings of the 2008 Ieee/Acm/Ifip Workshop On Embedded Systems For Real-Time Multimedia, Estimedia 2008. 13-18. DOI: 10.1109/ESTMED.2008.4696987  0.237
1994 Capitanio A, Dutt N, Nicolau A. Partitioning of variables for multiple-register-file architectures via hypergraph coloring Ifip Transactions a: Computer Science and Technology. 319-322.  0.237
2004 Mamidipaka M, Khouri K, Dutt N, Abadir M. IDAP: A tool for high-level power estimation of custom array structures Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 23: 1361-1369. DOI: 10.1109/TCAD.2004.833609  0.236
1997 Dutt ND, Jha PK. RT component sets for high-level design applications Vlsi Design. 5: 155-165.  0.235
2012 Sarma S, Dutt N, Venkatasubramanian N. Cross-layer virtual observers for embedded multiprocessor system-on-chip (MPSoC) Proceedings of the 11th International Workshop On Adaptive and Reflective Middleware, Arm 2012 - Co-Located With Acm/Ifip/Usenix 13th International Middleware Conference. DOI: 10.1145/2405679.2405683  0.235
2008 Lee K, Shrivastava A, Dutt N, Venkatasubramanian N. Data partitioning techniques for partially protected caches to reduce soft error induced failures Ifip International Federation For Information Processing. 271: 213-225. DOI: 10.1007/978-0-387-09661-2_21  0.235
2005 Gordon-Ross A, Vahid F, Dutt N. A first look at the interplay of code reordering and configurable caches Proceedings of the Acm Great Lakes Symposium On Vlsi, Glsvlsi. 416-421.  0.234
2015 Shin JY, Kurdahi F, Dutt N. Cooperative On-Chip Temperature EstimationUsing Multiple Virtual Sensors Ieee Embedded Systems Letters. 7: 37-40. DOI: 10.1109/Les.2015.2400992  0.233
1999 Kolson DJ, Nicolau A, Dutt N. Copy elimination for parallelizing compilers Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). 1656: 275-289.  0.232
2012 Denker G, Dutt N, Mehrotra S, Stehr M, Talcott C, Venkatasubramanian N. Resilient dependable cyber-physical systems: a middleware perspective Journal of Internet Services and Applications. 3: 41-49. DOI: 10.1007/S13174-011-0057-4  0.231
1993 Jha PK, Dutt ND. Rapid Estimation for Parameterized Components in High-Level Synthesis Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 1: 296-303. DOI: 10.1109/92.238443  0.231
2010 Homayoun H, Sasan A, Gupta A, Veidenbaum A, Kurdahi F, Dutt N. Multiple sleep modes leakage control in peripheral circuits of a all major SRAM-based processor units Cf 2010 - Proceedings of the 2010 Computing Frontiers Conference. 297-307. DOI: 10.1145/1787275.1787339  0.231
2010 Bathen LAD, Dutt N. PoliMakE: A policy making engine for secure embedded software execution on chip-multiprocessors Proceedings of the 5th Workshop On Embedded Systems Security, Wess '10. DOI: 10.1145/1873548.1873550  0.229
2005 Mohapatra S, Cornea R, Oh H, Lee K, Kim M, Dutt N, Gupta R, Nicolau A, Shukla S, Venkatasubramanian N. A cross-layer approach for power-performance optimization in distributed mobile systems Proceedings - 19th Ieee International Parallel and Distributed Processing Symposium, Ipdps 2005. 2005. DOI: 10.1109/IPDPS.2005.13  0.227
2014 Dutt N, Tahoori M. Introduction to special issue on cross-layer dependable embedded systems Transactions On Embedded Computing Systems. 13. DOI: 10.1145/2588610  0.227
1993 Wang H, Dutt N, Nicolau A. Regular schedules for scalable design of IIR filters European Design Automation Conference - Proceedings. 52-57.  0.227
2000 Jha PK, Dutt ND. High-level library mapping for memories Acm Transactions On Design Automation of Electronic Systems. 5: 566-603.  0.226
2015 Wanner L, Lai L, Rahimi A, Gottscho M, Mercati P, Huang C, Sala F, Agarwal Y, Dolecek L, Dutt N, Gupta P, Gupta R, Jhala R, Kumar R, Lerner S, et al. NSF expedition on variability-aware software: Recent results and contributions It - Information Technology. 57. DOI: 10.1515/Itit-2014-1085  0.225
1999 Panda PR, Dutt ND. Low-power memory mapping through reducing address bus activity Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 7: 309-320. DOI: 10.1109/92.784092  0.224
2015 Sarma S, Muck T, Bathen LAD, Dutt N, Nicolau A. SmartBalance: A sensing-driven linux load balancer for energy efficiency of heterogeneous MPSoCs Proceedings - Design Automation Conference. 2015. DOI: 10.1145/2744769.2744911  0.222
2008 Gupta A, Djahromi A, Eltawil A, Dutt N, Kurdahi F, Khouri K, Abadir M. Managing leakage power and reliability in hot chips using system floorplanning and SRAM design 14th International Workshop On Thermal Investigation of Ics and Systems, Therminic 2008. 37-42. DOI: 10.1109/THERMINIC.2008.4669875  0.22
2006 Gupta A, Dutt N, Kurdahi F, Khouri K, Abadir M. Floorplan driven leakage power aware IP-based SoC design space exploration Codes+Isss 2006: Proceedings of the 4th International Conference On Hardware Software Codesign and System Synthesis. 118-123. DOI: 10.1145/1176254.1176284  0.22
2020 Han HJ, Labbaf S, Borelli JL, Dutt N, Rahmani AM. Objective stress monitoring based on wearable sensors in everyday settings. Journal of Medical Engineering & Technology. 1-13. PMID 32589065 DOI: 10.1080/03091902.2020.1759707  0.22
2005 Banerjee S, Bozorgzadeh E, Dutt N. Considering run-time reconfiguration overhead in task graph transformations for dynamically reconfigurable architectures Proceedings - 13th Annual Ieee Symposium On Field-Programmable Custom Computing Machines, Fccm 2005. 2005: 273-274. DOI: 10.1109/FCCM.2005.28  0.219
2004 Banerjee S, Dutt N. FIFO power optimization for on-chip networks Proceedings of the Acm Great Lakes Symposium On Vlsi. 187-191.  0.219
2020 Zhang T, Seo M, Donyanavard B, Dutt N, Kurdahi F. Predicting Failures in Embedded Systems using Long Short-Term Inference Ieee Embedded Systems Letters. 1-1. DOI: 10.1109/Les.2020.3007361  0.218
2014 Wang Y, Shao Z, Chan HCB, Bathen LAD, Dutt ND. A Reliability Enhanced Address Mapping Strategy for Three-Dimensional (3-D) NAND Flash Memory Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 22: 2402-2410. DOI: 10.1109/Tvlsi.2013.2288687  0.218
2005 Biswas P, Banerjee S, Dutt N, Pozzi L, Ienne P. ISEGEN: Generation of high-quality instruction set extensions by iterative improvement Proceedings -Design, Automation and Test in Europe, Date '05. 1246-1251. DOI: 10.1109/DATE.2005.191  0.218
2000 Halambe A, Cornea R, Grun P, Dutt N, Nicolau A. Architecture exploration of parameterizable EPIC SOC architectures Proceedings -Design, Automation and Test in Europe, Date. 748. DOI: 10.1109/DATE.2000.840881  0.216
1994 Capitanio A, Dutt N, Nicolau A. Partitioning of variables for multiple-register-file VLIW architectures Proceedings of the International Conference On Parallel Processing. 1. DOI: 10.1109/ICPP.1994.155  0.213
2007 Cornea R, Nicolau A, Dutt N. Annotation integration and trade-off analysis for multimedia applications Proceedings - 21st International Parallel and Distributed Processing Symposium, Ipdps 2007; Abstracts and Cd-Rom. DOI: 10.1109/IPDPS.2007.370531  0.213
2008 Dutt N. On-Chip Communication Architectures On-Chip Communication Architectures 0.213
2006 Cornea R, Nicolau A, Dutt N. Video stream annotations for energy trade-offs in multimedia applications Proceedings - Fifth International Symposium On Parallel and Distributed Computing, Ispdc 2006. 17-23. DOI: 10.1109/ISPDC.2006.55  0.212
2004 Tomiyama H, Dutt N. ILP-based program path analysis for bounding worst-case inter-task cache conflicts Ieice Transactions On Information and Systems. 1582-1587.  0.209
2001 Panda PR, Catthoor F, Dutt ND, Danckaert K, Brockmeyer E, Kulkarni C, Vandercappelle A, Kjeldsberg PG. Data and memory optimization techniques for embedded systems Acm Transactions On Design Automation of Electronic Systems (Todaes). 6: 149-206. DOI: 10.1145/375977.375978  0.209
1999 Wang H, Dutt ND, Nicolau A. Exploring scalable schedules for IIR filters with resource constraints Ieee Transactions On Circuits and Systems Ii: Analog and Digital Signal Processing. 46: 1367-1379. DOI: 10.1109/82.803476  0.207
2020 Kasaeyan Naeini E, Jiang M, Syrjälä E, Calderon MD, Mieronkoski R, Zheng K, Dutt N, Liljeberg P, Salanterä S, Nelson AM, Rahmani AM. Prospective Study Evaluating a Pain Assessment Tool in a Postoperative Environment: Protocol for Algorithm Testing and Enhancement. Jmir Research Protocols. 9: e17783. PMID 32609091 DOI: 10.2196/17783  0.206
2001 Ranjan Panda P, Dutt N, Nicolau A, Catthoor F, Vandecappelle A, Brockmeyer E, Kulkarni C, De Greef E. Data memory organization and optimizations in application-specific systems Ieee Design & Test of Computers. 18: 56-68. DOI: 10.1109/54.922803  0.206
2009 Zhu J, Dutt N. Electronic System-Level Design and High-Level Synthesis Electronic Design Automation. 235-297. DOI: 10.1016/B978-0-12-374364-0.50012-6  0.203
2001 Mamidipaka M, Hirschberg D, Dutt N. Low power address encoding using self-organizing lists Proceedings of the International Symposium On Low Power Electronics and Design, Digest of Technical Papers. 188-193.  0.202
2015 Banaiyanmofrad A, Ebrahimi M, Oboril F, Tahoori MB, Dutt N. Protecting caches against multi-bit errors using embedded erasure coding Proceedings - 2015 20th Ieee European Test Symposium, Ets 2014. DOI: 10.1109/ETS.2015.7138735  0.201
1996 Jha PK, Dutt ND. High-level library mapping for arithmetic components Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 4: 157-169. DOI: 10.1109/92.502189  0.201
1993 Dutt ND. A language for designer controlled behavioral synthesis Integration, the Vlsi Journal. 16: 1-31. DOI: 10.1016/0167-9260(93)90056-I  0.199
2015 Dang N, Tajik H, Dutt N, Venkatasubramanian N, Bozorgzadeh E. Orchestrated application quality and energy storage management in solar-powered embedded systems Proceedings - International Symposium On Quality Electronic Design, Isqed. 2015: 227-233. DOI: 10.1109/ISQED.2015.7085430  0.197
2006 Mishra P, Shrivastava A, Dutt N. Architecture description language (ADL)-driven software toolkit generation for architectural exploration of programmable SOCs Acm Transactions On Design Automation of Electronic Systems (Todaes). 11: 626-658. DOI: 10.1145/1142980.1142985  0.196
2008 Lee K, Kim M, Dutt N, Venkatasubramanian N. Error-Exploiting video encoder to extend energy/QoS tradeoffs for mobile embedded systems Ifip International Federation For Information Processing. 271: 23-34. DOI: 10.1007/978-0-387-09661-2_3  0.194
2005 Mishra P, Dutt N. Functional coverage driven test generation for validation of pipelined processors Proceedings -Design, Automation and Test in Europe, Date '05. 678-683. DOI: 10.1109/DATE.2005.162  0.194
2014 Sarma S, Venkatasubramanian N, Dutt N. Sense-making from distributed and mobile sensing data: A middleware perspective Proceedings - Design Automation Conference. DOI: 10.1145/2593069.2596688  0.193
2020 Vo K, Le T, Rahmani AM, Dutt N, Cao H. An Efficient and Robust Deep Learning Method with 1-D Octave Convolution to Extract Fetal Electrocardiogram. Sensors (Basel, Switzerland). 20. PMID 32635568 DOI: 10.3390/S20133757  0.191
2006 Cornea R, Nicolau A, Dutt N. Annotation based multimedia streaming over wireless networks Proceedings of the 2006 Ieee/Acm/Ifip Workshop On Embedded Systems For Real Time Multimedia, Estimedia 2006. 47-52. DOI: 10.1109/ESTMED.2006.321273  0.19
2004 Mishra P, Dutt N. Graph-based functional test program generation for pipelined processors Proceedings - Design, Automation and Test in Europe Conference and Exhibition. 1: 182-187. DOI: 10.1109/DATE.2004.1268846  0.19
2019 Lee J, Labbaf S, Rahmani A, Kehoe P, Dutt N. P4-394: WEARABLE INTERNET-OF-THINGS TECHNOLOGY: AN IMMIGRANT DEMENTIA CAREGIVERS PILOT INTERVENTION Alzheimer's & Dementia. 15: P1452-P1453. DOI: 10.1016/J.Jalz.2019.06.4065  0.187
2013 Lim SS, Im EJ, Dutt N, Lee KW, Shin I, Lee CG, Lee I. A reliable, safe, and secure run-time platform for cyber physical systems Proceedings - Ieee 6th International Conference On Service-Oriented Computing and Applications, Soca 2013. 268-274. DOI: 10.1109/SOCA.2013.65  0.186
2012 Dick R, Shang L, Dutt N. Introduction to special section SCPS'09 Acm Transactions in Embedded Computing Systems. 11: 74. DOI: 10.1145/2362336.2362341  0.178
2014 Sarma S, Dutt N. Minimal sparse observability of complex networks: Application to MPSoC sensor placement and run-time thermal estimation & tracking Proceedings -Design, Automation and Test in Europe, Date. DOI: 10.7873/DATE2014.342  0.178
2010 Homayoun H, Gupta A, Veidenbaum A, Sasan A, Kurdahi F, Dutt N. RELOCATE: Register file local access pattern redistribution mechanism for power and thermal management in out-of-order embedded processor Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). 5952: 216-231. DOI: 10.1007/978-3-642-11515-8_17  0.175
2019 Martins ALdM, Garibotti R, Dutt N, Moraes FG. The power impact of hardware and software actuators on self-adaptable many-core systems Journal of Systems Architecture. 97: 42-53. DOI: 10.1016/J.SYSARC.2019.05.006  0.173
2006 Kejariwal A, Gupta S, Nicolau A, Dutt ND, Gupta R. Energy efficient watermarking on mobile devices using proxy-based partitioning Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 14: 625-635. DOI: 10.1109/Tvlsi.2006.878218  0.173
2007 Cheng L, Mohapatra S, El Zarki M, Dutt N, Venkatasubramanian N. Quality-Based Backlight Optimization for Video Playback on Handheld Devices Advances in Multimedia. 2007: 1-10. DOI: 10.1155/2007/83715  0.166
2009 Gupta A, Pasricha S, Dutt N, Kurdahi F, Khouri K, Abadir M. On chip communication-architecture based thermal management for SoCs 2009 International Symposium On Vlsi Design, Automation and Test, Vlsi-Dat '09. 76-79. DOI: 10.1109/VDAT.2009.5158099  0.164
2007 Dutt N. Modeling of software-hardware complexes Ifip International Federation For Information Processing. 231: 423-425. DOI: 10.1007/978-0-387-72258-0_37  0.163
2008 Dutt N. ACM Transactions on Design: Editorial Acm Transactions On Design Automation of Electronic Systems. 13. DOI: 10.1145/1344418.1344419  0.161
2005 Kejariwal A, Gupta S, Nicolau A, Dutt N, Gupta R. Energy analysis of multimedia watermarking on mobile handheld devices Proceedings of the 2005 3rd Workshop On Embedded Systems For Real-Time Multimedia. 2005: 33-38. DOI: 10.1109/ESTMED.2005.1518065  0.161
2007 Gupta A, Dutt ND, Kurdahi FJ, Khouri KS, Abadir MS. LEAF: A system level leakage-aware floorplanner for SoCs Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 274-279. DOI: 10.1109/ASPDAC.2007.357998  0.16
2005 Lee K, Dutt N, Venkatasubramanian N. An experimental study on energy consumption of video encryption for mobile handheld devices Ieee International Conference On Multimedia and Expo, Icme 2005. 2005: 1424-1427. DOI: 10.1109/ICME.2005.1521698  0.159
2007 Gupta A, Dutt ND, Kurdahi FJ, Khouri KS, Abadir MS. STEFAL: A system level temperature- and floorplan-aware leakage power estimator for SoCs Proceedings of the Ieee International Conference On Vlsi Design. 559-564. DOI: 10.1109/VLSID.2007.150  0.149
2007 Lee J, Choi K, Dutt ND. Instruction set synthesis with efficient instruction encoding for configurable processors Acm Transactions On Design Automation of Electronic Systems. 12: 1-37. DOI: 10.1145/1188275.1188283  0.148
2020 Vishwanath M, Jafarlou S, Shin I, Lim MM, Dutt N, Rahmani AM, Cao H. Investigation of Machine Learning Approaches for Traumatic Brain Injury Classification via EEG Assessment in Mice. Sensors (Basel, Switzerland). 20. PMID 32260320 DOI: 10.3390/S20072027  0.147
2005 Biswas P, Dutt N. Code Size Reduction in Heterogeneous-Connectivity-Based DSPs Using Instruction Set Extensions Ieee Transactions On Computers. 54: 1216-1226. DOI: 10.1109/TC.2005.157  0.146
2001 Catthoor F, Danckaert K, Wuytack S, Dutt N. Code transformations for data transfer and storage exploration preprocessing in multimedia processors Ieee Design & Test of Computers. 18: 70-82. DOI: 10.1109/54.922804  0.139
2013 Henkel J, Bauer L, Dutt N, Gupta P, Nassif S, Shafique M, Tahoori M, Wehn N. Reliable On-chip systems in the nano-era: Lessons learnt and future trends Proceedings - Design Automation Conference. DOI: 10.1145/2463209.2488857  0.136
2018 Muck T, Donyanavard B, Moazzemi K, Rahmani AM, Jantsch A, Dutt N. Design Methodology for Responsive and Rrobust MIMO Control of Heterogeneous Multicores Ieee Transactions On Multi-Scale Computing Systems. 4: 944-951. DOI: 10.1109/TMSCS.2018.2808524  0.135
2006 Park S, Shrivastava A, Dutt N, Nicolau A, Paek Y, Earlie E. Bypass aware instruction scheduling for register file power reduction Acm Sigplan Notices. 41: 173-181. DOI: 10.1145/1159974.1134675  0.134
2022 Mehrabadi MA, Aqajari SAH, Zargari AHA, Dutt N, Rahmani AM. Novel Blood Pressure Waveform Reconstruction from Photoplethysmography using Cycle Generative Adversarial Networks. Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual International Conference. 2022: 1906-1909. PMID 36086575 DOI: 10.1109/EMBC48229.2022.9871962  0.134
2021 Sarhaddi F, Azimi I, Labbaf S, Niela-Vilén H, Dutt N, Axelin A, Liljeberg P, Rahmani AM. Long-Term IoT-Based Maternal Monitoring: System Design and Evaluation. Sensors (Basel, Switzerland). 21. PMID 33805217 DOI: 10.3390/s21072281  0.133
2019 Anzanpour A, Rashid H, Rahmani AM, Jantsch A, Dutt N, Liljeberg P. Energy-efficient and Reliable Wearable Internet-of-Things through Fog-Assisted Dynamic Goal Management Procedia Computer Science. 151: 493-500. DOI: 10.1016/J.PROCS.2019.04.067  0.131
2008 Dutt N. ACM Transactions on Design Automation of Electronics Systems: Editorial Acm Transactions On Design Automation of Electronic Systems. 13. DOI: 10.1145/1297666.1297667  0.131
2005 Dutt N. ACM transactions on design automation of electronic systems: Editorial Acm Transactions On Design Automation of Electronic Systems. 10: 1-2. DOI: 10.1145/1044111.1044112  0.131
1992 Capitanio A, Dutt N, Nicolau A. Partitioned register files for VLIWs Acm Sigmicro Newsletter. 23: 292-300. DOI: 10.1145/144965.145839  0.13
2022 Vishwanath M, Dutt N, Rahmani AM, Lim MM, Cao H. Label Alignment Improves EEG-based Machine Learning-based Classification of Traumatic Brain Injury. Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual International Conference. 2022: 3546-3549. PMID 36085737 DOI: 10.1109/EMBC48229.2022.9871268  0.13
2007 Felch A, Nageswaran JM, Chandrashekar A, Furlong J, Dutt N, Granger R, Nicolau A, Veidenbaum A. Accelerating brain circuit simulations of object recognition with CELL processors Proceedings of the Innovative Architecture For Future Generation High-Performance Processors and Systems. 33-42. DOI: 10.1109/IWIA.2007.10  0.128
2021 Vishwanath M, Jafarlou S, Shin I, Dutt N, Rahmani AM, Jones CE, Lim MM, Cao H. Investigation of Machine Learning and Deep Learning Approaches for Detection of Mild Traumatic Brain Injury from Human Sleep Electroencephalogram. Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual International Conference. 2021: 6134-6137. PMID 34892516 DOI: 10.1109/EMBC46164.2021.9630423  0.127
2008 Gupta A, Dutt ND, Kurdahi FJ, Khouri KS, Abadir MS. Thermal Aware Global Routing of VLSI chips for enhanced reliability Proceedings of the 9th International Symposium On Quality Electronic Design, Isqed 2008. 470-475. DOI: 10.1109/ISQED.2008.4479779  0.127
2007 Dutt N. ACM Transactios on Design Automation of Electronic Systems: Editorial Acm Transactions On Design Automation of Electronic Systems. 12. DOI: 10.1145/1230800.1230801  0.126
2015 Rockart SF, Dutt N. The rate and potential of capability development trajectories Strategic Management Journal. 36: 53-75. DOI: 10.1002/smj.2202  0.125
2008 Dutt N, Kirsch C. Proceedings of the 8th ACM International Conference on Embedded Software, EMSOFT'08: Welcome message from the general chairs Proceedings of the 8th Acm International Conference On Embedded Software, Emsoft'08 0.123
2021 Le T, Zhang J, Nguyen AH, Trigo Torres RS, Vo K, Dutt N, Lee J, Ding Y, Xu X, Lau MPH, Cao H. A novel wireless ECG system for prolonged monitoring of multiple zebrafish for heart disease and drug screening studies. Biosensors & Bioelectronics. 197: 113808. PMID 34801796 DOI: 10.1016/j.bios.2021.113808  0.122
2011 Lee G, Choi K, Dutt ND. Mapping Multi-Domain Applications Onto Coarse-Grained Reconfigurable Architectures Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 30: 637-650. DOI: 10.1109/TCAD.2010.2098571  0.121
2015 Halvorsen P, Dutt N. Foreword Proceedings of the 7th Acm Workshop On Mobile Video, Movid 2015 0.12
2014 Bathen LAD, Dutt ND. SPMCloud Acm Transactions On Design Automation of Electronic Systems. 19: 1-45. DOI: 10.1145/2611755  0.12
2019 Miele A, Kanduri A, Moazzemi K, Juhász D, Rahmani AR, Dutt N, Liljeberg P, Jantsch A. On-Chip Dynamic Resource Managemen Foundations and Trends® in Electronic Design Automation. 13: 1-144. DOI: 10.1561/1000000055  0.119
2008 Mishra P, Dutt N. Introduction to Architecture Description Languages Processor Description Languages. 1-12. DOI: 10.1016/B978-012374287-2.50004-5  0.115
2023 Labbaf S, Abbasian M, Azimi I, Dutt N, Rahmani AM. ZotCare: a flexible, personalizable, and affordable mhealth service provider. Frontiers in Digital Health. 5: 1253087. PMID 37781455 DOI: 10.3389/fdgth.2023.1253087  0.11
2022 Jafarlou S, Rahmani AM, Dutt N, Mousavi SR. ECG Biosignal Deidentification Using Conditional Generative Adversarial Networks. Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual International Conference. 2022: 1366-1370. PMID 36086579 DOI: 10.1109/EMBC48229.2022.9872015  0.106
2000 Wu A, Dutt N. Guest editorial 11th international symposium on system-level synthesis and design (ISSS'98) Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 8: 469-471. DOI: 10.1109/TVLSI.2000.894151  0.105
2000 Dutt N, Girdner EJ. Challenging the rise of nationalist-religious parties in India and Turkey Contemporary South Asia. 9: 7-24.  0.105
2008 Dutt N, Kirsch C. Welcome message from the general chairs Embedded Systems Week 2008 - Proceedings of the 2008 International Conference On Compilers, Architecture and Synthesis For Embedded Systems, Cases'08 0.105
2020 Vishwanath M, Jafarlou S, Shin I, Dutt N, Rahmani AM, Lim MM, Cao H. Classification of Electroencephalogram in a Mouse Model of Traumatic Brain Injury Using Machine Learning Approaches. Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual International Conference. 2020: 3335-3338. PMID 33018718 DOI: 10.1109/EMBC44109.2020.9175915  0.105
2019 Naeini EK, Azimi I, Rahmani AM, Liljeberg P, Dutt N. A Real-time PPG Quality Assessment Approach for Healthcare Internet-of-Things Procedia Computer Science. 151: 551-558. DOI: 10.1016/J.PROCS.2019.04.074  0.104
2004 Spehr S, Dutt N. Exploring protest participation in India: Evidence from the 1996 world values survey African and Asian Studies. 3: 185-218. DOI: 10.1163/1569209332643683  0.103
1986 Dutt N, Kaur AJ, Shanker J. EFFECTIVE POTENTIALS AND CRYSTALLINE STATE PROPERTIES OF SOME FLUORITE-STRUCTURE CRYSTALS Physica Status Solidi (B) Basic Research. 137: 459-468.  0.103
2023 Jafarlou S, Lai J, Azimi I, Mousavi Z, Labbaf S, Jain RC, Dutt N, Borelli JL, Rahmani A. Objective Prediction of Next-Day's Affect Using Multimodal Physiological and Behavioral Data: Algorithm Development and Validation Study. Jmir Formative Research. 7: e39425. PMID 36920456 DOI: 10.2196/39425  0.1
2018 Dutt N, Jantsch A. Guest Editorial: Special Issue on Self-Aware Systems on Chip Ieee Design & Test. 35: 5-6. DOI: 10.1109/MDAT.2017.2766604  0.1
2020 Amiri D, Anzanpour A, Azimi I, Levorato M, Liljeberg P, Dutt N, Rahmani AM. Context-Aware Sensing via Dynamic Programming for Edge-Assisted Wearable Systems Acm Transactions On Computing For Healthcare. 1: 1-25. DOI: 10.1145/3351286  0.099
1997 Clarke HD, Dutt N, Rapkin J. (Mis)Measuring Value Change: A brief postscript Political Behavior. 19: 61-63. DOI: 10.1023/A:1024897722915  0.099
1996 Clark KL, Hummer JE, Dutt N. Field evaluation of fluorescent strong yellow-green pedestrian warning signs Transportation Research Record. 39-46. DOI: 10.3141/1538-05  0.098
2015 Levorato M, Venkatasubramanian N, Dutt N. Heat-aware transmission strategies 2015 Information Theory and Applications Workshop, Ita 2015 - Conference Proceedings. 154-162. DOI: 10.1109/ITA.2015.7308981  0.094
2019 Martins ALdM, da Silva AHL, Rahmani AM, Dutt N, Moraes FG. Hierarchical adaptive Multi-objective resource management for many-core systems Journal of Systems Architecture. 97: 416-427. DOI: 10.1016/J.SYSARC.2019.01.006  0.093
2022 Tazarv A, Labbaf S, Reich SM, Dutt N, Rahmani AM, Levorato M. Personalized Stress Monitoring using Wearable Sensors in Everyday Settings. Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual International Conference. 2021: 7332-7335. PMID 34892791 DOI: 10.1109/EMBC46164.2021.9630224  0.091
2018 Shahhosseini S, Moazzemi K, Rahmani AM, Dutt N. On the feasibility of SISO control-theoretic DVFS for power capping in CMPs Microprocessors and Microsystems. 63: 249-258. DOI: 10.1016/j.micpro.2018.09.012  0.091
2021 Mehrabadi MA, Aqajari SAH, Azimi I, Downs CA, Dutt N, Rahmani AM. Detection of COVID-19 Using Heart Rate and Blood Pressure: Lessons Learned from Patients with ARDS. Annual International Conference of the Ieee Engineering in Medicine and Biology Society. Ieee Engineering in Medicine and Biology Society. Annual International Conference. 2021: 2140-2143. PMID 34891712 DOI: 10.1109/EMBC46164.2021.9629794  0.09
2003 Lee J, Choi K, Dutt ND. An algorithm for mapping loops onto coarse-grained reconfigurable architectures Acm Sigplan Notices. 38: 183. DOI: 10.1145/780731.780758  0.09
2020 Hoffmann H, Jantsch A, Dutt ND. Embodied Self-Aware Computing Systems Proceedings of the Ieee. 108: 1027-1046. DOI: 10.1109/JPROC.2020.2977054  0.089
2021 Asgari Mehrabadi M, Dutt N, Rahmani AM. The Causality Inference of Public Interest in Restaurants and Bars on COVID-19 Daily Cases in the US: A Google Trends Analysis. Jmir Public Health and Surveillance. PMID 33690143 DOI: 10.2196/22880  0.087
1998 Dutt NVK. Determination of Hagenbach and Coutte correction factors for the flow of power law fluids Physics and Chemistry of Liquids. 35: 237-242.  0.081
1997 Dutt N, Hummer JE, Clark KL. User preference for fluorescent strong yellow-green pedestrian crossing signs Transportation Research Record. 17-21. DOI: 10.3141/1605-03  0.075
1976 Dutt NVK. DETERMINATION OF LOSS COEFFICIENTS IN PIPE ENTRY REGION FOR VISCOUS POWER LAW & VISCO-ELASTIC FLUIDS Indian J Technol. 14: 534-536.  0.075
2008 Dutt N. Quo vadis, BTSoC (Billion Transistor SoC)? Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 809. DOI: 10.1109/ASPDAC.2008.4484063  0.074
2022 Rodrigues SM, Kanduri A, Nyamathi A, Dutt N, Khargonekar P, Rahmani AM. Digital Health-Enabled Community-Centered Care: Scalable Model to Empower Future Community Health Workers Using Human-in-the-Loop Artificial Intelligence. Jmir Formative Research. 6: e29535. PMID 35384853 DOI: 10.2196/29535  0.072
2022 Rahmani AM, Lai J, Jafarlou S, Azimi I, Yunusova A, Rivera AP, Labbaf S, Anzanpour A, Dutt N, Jain R, Borelli JL. Personal mental health navigator: Harnessing the power of data, personal models, and health cybernetics to promote psychological well-being. Frontiers in Digital Health. 4: 933587. PMID 36213523 DOI: 10.3389/fdgth.2022.933587  0.072
1985 Dutt N, Agrawal GG, Shanker J. EVALUATION OF THE FIRST- AND HIGHER-ORDER VOLUME AND PRESSURE DERIVATIVES OF DIELECTRIC CONSTANTS OF ALKALI HALIDE CRYSTALS Physica Status Solidi (B) Basic Research. 132: 99-107.  0.072
2018 Mostl M, Schlatow J, Ernst R, Dutt N, Nassar A, Rahmani A, Kurdahi FJ, Wild T, Sadighi A, Herkersdorf A. Platform-Centric Self-Awareness as a Key Enabler for Controlling Changes in CPS Proceedings of the Ieee. 106: 1543-1567. DOI: 10.1109/JPROC.2018.2858023  0.068
2020 Jantsch A, Lewis PR, Dutt N. Introduction to the Special Issue on Self-Aware Cyber-physical Systems Acm Transactions On Cyber-Physical Systems. 4: 1-2. DOI: 10.1145/3397266  0.066
2012 Dutt N. The international monetary fund Handbook On International Political Economy. 195-210. DOI: 10.1142/9789814366984_0013  0.063
2017 Muck TR, Ghaderi Z, Dutt ND, Bozorgzadeh E. Exploiting Heterogeneity for Aging-Aware Load Balancing in Mobile Platforms Ieee Transactions On Multi-Scale Computing Systems. 3: 25-35. DOI: 10.1109/TMSCS.2016.2627541  0.063
1999 Dutt NVK. Determination of loss coefficients for the entry region flow of visco-elastic fluids Physics and Chemistry of Liquids. 37: 229-236.  0.062
2021 Kasaeyan Naeini E, Subramanian A, Calderon MD, Zheng K, Dutt N, Liljeberg P, Salantera S, Nelson AM, Rahmani AM. Pain Recognition With Electrocardiographic Features in Postoperative Patients: Method Validation Study. Journal of Medical Internet Research. 23: e25079. PMID 34047710 DOI: 10.2196/25079  0.061
1997 Clarke HD, Dutt N, Rapkin J. Conversations in context: The (Mis)measurement of value change in advanced industrial societies Political Behavior. 19: 19-39. DOI: 10.1023/A:1024893622007  0.061
2020 Dutt N, Regazzoni CS, Rinner B, Yao X. Self-Awareness for Autonomous Systems Proceedings of the Ieee. 108: 971-975. DOI: 10.1109/jproc.2020.2990784  0.06
2021 Yunusova A, Lai J, Rivera AP, Hu S, Labbaf S, Rahmani AM, Dutt N, Jain RC, Borelli JL. Assessing Mental Health in Emerging Adulthood through a Mental Health Navigator: A Study Protocol. Jmir Research Protocols. PMID 33513124 DOI: 10.2196/25775  0.059
2022 Jimah T, Kehoe P, Borg H, Pimentel P, Rahmani A, Dutt N, Guo Y. A Micro-Level Analysis of Physiological Responses to COVID-19: Continuous Monitoring of Pregnant Women in California. Frontiers in Public Health. 10: 808763. PMID 35462830 DOI: 10.3389/fpubh.2022.808763  0.058
1991 Clarke HD, Dutt N. Measuring Value Change in Western Industrialized Societies: The Impact of Unemployment American Political Science Review. 85: 905-920. DOI: 10.2307/1963855  0.054
2016 Kuwal A, Dutt N, Chauhan N. Image-Guided Pleural Biopsy: Issue of the Expertise and Availability of the Resources. Respiration; International Review of Thoracic Diseases. PMID 27174422 DOI: 10.1159/000446443  0.054
1985 Dutt N, Agrawal GG, Shanker J. INVESTIGATION OF THE EQUATION OF STATE AND THE GRUENEISEN-ANDERSON PARAMETERS FOR FLUORITE-STRUCTURE CRYSTALS Physica Status Solidi (B) Basic Research. 129: 73-79.  0.054
1990 Dutt NVK. A simple method of estimating the viscosity of petroleum crude oil and fractions The Chemical Engineering Journal. 45: 83-86. DOI: 10.1016/0300-9467(90)80030-G  0.053
1985 Dutt N, Agrawal GG, Shanker J. Volume dependence of refractive index and electronic polarizabilities of alkali halide crystals Solid State Communications. 55: 993-996. DOI: 10.1016/0038-1098(85)90574-5  0.053
2001 Dutt N. The US and the Asian Development Bank: Origins, structure and lending operations Journal of Contemporary Asia. 31: 241-261.  0.051
2004 Terai H, Dutt N, Hong X. Techical program co-chairs' message Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac 0.05
1985 Dutt N, Agrawal GG, Shanker J. VOLUME DEPENDENCE OF DIELECTRIC PROPERTIES OF SOME FLUORITE-STRUCTURE CRYSTALS Physica Status Solidi (B) Basic Research. 131: 505-510.  0.05
1959 Dutt N. Report on 130 cases of Stapes Mobilisation Operation - (Difficulties, dangers and complications) Indian Journal of Otolaryngology. 11: 122-127. DOI: 10.1007/BF03047790  0.048
1971 Dutt NK, Sur S. Chemistry of lanthanons-XXVIII. Mixed tetrakis complexes of lanthanides derived from pure tris acetylacetonates Journal of Inorganic and Nuclear Chemistry. 33: 115-119. DOI: 10.1016/0022-1902(71)80014-3  0.046
1978 Dutt NVK. FIRST AND SECOND NORMAL STRESS DIFFERENCES IN STEADY LAMINAR SHEARING FLOWS OF VISCO-ELASTIC SOLUTIONS Indian J Technol. 16: 134-140.  0.046
2021 Aqajari SAH, Cao R, Kasaeyan Naeini E, Calderon MD, Zheng K, Dutt N, Liljeberg P, Salanterä S, Nelson AM, Rahmani AM. Pain Assessment Tool With Electrodermal Activity for Postoperative Patients: Method Validation Study. Jmir Mhealth and Uhealth. 9: e25258. PMID 33949957 DOI: 10.2196/25258  0.046
2021 Lai J, Rahmani A, Yunusova A, Rivera AP, Labbaf S, Hu S, Dutt N, Jain R, Borelli JL. Using Multi-modal Assessments to Capture Personalized Contexts of College Student Well-being in 2020: A Case Study. Jmir Formative Research. PMID 33882022 DOI: 10.2196/26186  0.045
1985 Dutt N, Sharma OP, Shanker J. ANALYSIS OF PHOTOELASTIC BEHAVIOUR OF FLUORITE-STRUCTURE CRYSTALS Physica Status Solidi (B) Basic Research. 127: 67-71.  0.045
2013 Dutt N. Therapeutic thoracentesis in tuberculous pleural effusion: Needs more ammunition to prove Annals of Thoracic Medicine. 8: 65. DOI: 10.4103/1817-1737.105725  0.043
2024 Tanaka M, Ishii S, Matsuoka A, Tanabe S, Matsunaga S, Rahmani A, Dutt N, Rasouli M, Nyamathi A. Perspectives of Japanese elders and their healthcare providers on use of wearable technology to monitor their health at home: A qualitative exploration. International Journal of Nursing Studies. 152: 104691. PMID 38262231 DOI: 10.1016/j.ijnurstu.2024.104691  0.043
1968 Dutt NK, Nag K. Chemistry of lanthanons-XIX ethylenediamine bis-acetylacetone complexes of rare-earths Journal of Inorganic and Nuclear Chemistry. 30: 3273-3280.  0.042
2021 Jimah T, Borg H, Kehoe P, Pimentel P, Turner A, Labbaf S, Asgari Mehrabadi M, Rahmani AM, Dutt N, Guo Y. A Technology-Based Pregnancy Health and Wellness Intervention (Two Happy Hearts): Case Study. Jmir Formative Research. 5: e30991. PMID 34787576 DOI: 10.2196/30991  0.039
2022 Huang Y, Pinto MD, Borelli JL, Asgari Mehrabadi M, Abrahim HL, Dutt N, Lambert N, Nurmi EL, Chakraborty R, Rahmani AM, Downs CA. COVID Symptoms, Symptom Clusters, and Predictors for Becoming a Long-Hauler Looking for Clarity in the Haze of the Pandemic. Clinical Nursing Research. 10547738221125632. PMID 36154716 DOI: 10.1177/10547738221125632  0.039
1972 Dutt NK, Sanyal S. Chemistry of lanthanons-XXXIII. Complexes fo rare earth elements with 2-butyrylcyclohexanone Journal of Inorganic and Nuclear Chemistry. 34: 651-655. DOI: 10.1016/0022-1902(72)80445-7  0.038
2022 Mousavi Z, Lai J, Simon K, Rivera AP, Yunusova A, Hu S, Labbaf S, Jafarlou S, Dutt ND, Jain RC, Rahmani AM, Borelli JL. Sleep Patterns and Affect Dynamics Among College Students during COVID-19 Pandemic: An Intensive Longitudinal Study. Jmir Formative Research. PMID 35816447 DOI: 10.2196/33964  0.038
1952 Dutt N. Nupserha bicolor thoms., subsp. Postbrunnea breun.: A new pest on jute (corchorus olitorius linn.) Nature. 170: 287-288. PMID 12993143 DOI: 10.1038/170287b0  0.037
2020 Asgari Mehrabadi M, Azimi I, Sarhaddi F, Axelin A, Niela-Vilén H, Myllyntausta S, Stenholm S, Dutt N, Liljeberg P, Rahmani AM. Sleep Tracking of Commercially Available Smart Ring and Watch Against Medical-Grade Actigraphy in Everyday Settings: Instrument Validation Study. Jmir Mhealth and Uhealth. PMID 33038869 DOI: 10.2196/20465  0.037
1971 Dutt NK, Chakder NC. Nitrato complexes of nickel(II) with aryl(acyl)-thiocarbazides Inorganica Chimica Acta. 5: 188-190. DOI: 10.1016/S0020-1693(00)95910-3  0.037
1972 Dutt NK, Majumdar D. Chemistry of lanthanons-XXXIV. Methyl salicylato-complexes of lanthanons Journal of Inorganic and Nuclear Chemistry. 34: 657-660. DOI: 10.1016/0022-1902(72)80446-9  0.037
1966 Dutt NK, Upadhyaya S. Chemistry of lanthanons-XV. Mixed β-diketonates of La, Pr, Nd, Sm and Y Journal of Inorganic and Nuclear Chemistry. 28: 2719-2724.  0.035
1975 Sengupta A, Dutt NK. Chemistry of lanthanides-XXXVIII. Salicylhydrazide complexes of lanthanides Journal of Inorganic and Nuclear Chemistry. 37: 270-271. DOI: 10.1016/0022-1902(75)80165-5  0.034
2021 Huang Y, Pinto MD, Borelli JL, Mehrabadi MA, Abrihim H, Dutt N, Lambert N, Nurmi EL, Chakraborty R, Rahmani AM, Downs CA. COVID Symptoms, Symptom Clusters, and Predictors for Becoming a Long-Hauler: Looking for Clarity in the Haze of the Pandemic. Medrxiv : the Preprint Server For Health Sciences. PMID 33688670 DOI: 10.1101/2021.03.03.21252086  0.034
1964 Dutt NK, Banyopadhyay P. Chemistry of the lanthanons-XIII. The stabilities of the acetylacetonates, propionylacetonates and benzoylacetonates of La, Pr, Nd and Y Journal of Inorganic and Nuclear Chemistry. 26: 729-736.  0.034
2022 Pinto MD, Downs CA, Huang Y, El-Azab SA, Ramrakhiani NS, Barisano A, Yu L, Taylor K, Esperanca A, Abrahim HL, Hughes T, Herrera MG, Rahamani AM, Dutt N, Chakraborty R, et al. A distinct symptom pattern emerges for COVID-19 long-haul: a nationwide study. Scientific Reports. 12: 15905. PMID 36151129 DOI: 10.1038/s41598-022-20214-7  0.033
2005 Dutt N, Briddon RW, Dasgupta I. Identification of a second begomovirus, Sri Lankan cassava mosaic virus, causing cassava mosaic disease in India Archives of Virology. 150: 2101-2108. PMID 15986172 DOI: 10.1007/s00705-005-0579-9  0.033
1970 Dutt NK, Rahut S. Chemistry of lanthanons...-XXVII. Rare earth complexes of ethylacetoacetate Journal of Inorganic and Nuclear Chemistry. 32: 2905-2909. DOI: 10.1016/0022-1902(70)80354-2  0.033
1970 Dutt NK, Rahut S. Chemistry of lanthanons-XXV. The formation constants of the ethyl thioacetoacetato complexes of rare earths Journal of Inorganic and Nuclear Chemistry. 32: 1033-1035. DOI: 10.1016/0022-1902(70)80085-9  0.033
2001 Dutt N, Sheaff MT, Feakins RM. Intraabdominal desmoplastic small cell tumor mimicking adenocarcinoma: A case report Acta Cytologica. 45: 82-85.  0.033
2000 Dutt N, Bates AW, Baithun SI. Secondary neoplasms of the male genital tract with different patterns of involvement in adults and children Histopathology. 37: 323-331. PMID 11012739  0.033
2011 Dutt N, Aggarwal D. Closed needle pleural biopsy: A victim of western advancement? Lung India : Official Organ of Indian Chest Society. 28: 322. PMID 22084558 DOI: 10.4103/0970-2113.85750  0.032
1970 Dutt NK, Sharma UVM. Chemistry of lanthanons-XXVI. Formation constants of rare earth complexes with 2-methyl 3-hydroxy γ-pyrone(maltol) Journal of Inorganic and Nuclear Chemistry. 32: 1035-1038. DOI: 10.1016/0022-1902(70)80086-0  0.032
1970 Dutt NK, Chakder NC. Complexes of nickel(II) with some thiocarbazide derivatives Journal of Inorganic and Nuclear Chemistry. 32: 2303-2311. DOI: 10.1016/0022-1902(70)80511-5  0.032
1968 Dutt NK, Nag K. Chemistry of lanthanons-XVIII. Mixed chelates of La, Pr, Nd, Sm and Y containing β-diketone and bis-salicylaldehyde ethylenediamine Journal of Inorganic and Nuclear Chemistry. 30: 2779-2783.  0.031
2011 Dutt N, Mohapatra P. Xpert MTB/RIF versus sputum smear microscopy: Microscopy needs a level playing field American Journal of Respiratory and Critical Care Medicine. 184: 1420. PMID 22174115  0.031
2018 Rahmani AM, Donyanavard B, Mück T, Moazzemi K, Jantsch A, Mutlu O, Dutt N. SPECTR Acm Sigplan Notices. 53: 169-183. DOI: 10.1145/3296957.3173199  0.03
1971 Dutt NK, Chakder NC. Complexes of copper(I) and silver(I) with some thiocarbazide derivatives Inorganica Chimica Acta. 5: 536-538. DOI: 10.1016/S0020-1693(00)95983-8  0.03
2020 Nejatollahi H, Valencia F, Banik S, Regazzoni F, Cammarota R, Dutt N. Synthesis of Flexible Accelerators for Early Adoption of Ring-LWE Post-quantum Cryptography Acm Transactions On Embedded Computing Systems. 19: 1-17. DOI: 10.1145/3378164  0.029
1968 Dutt NK, Nag K. Chemistry of lanthanons-XVII. Bis-salicylaldehyde ethylenediamine and bis-salicylaldehyde o-phenylenediamine complexes of rare-earths Journal of Inorganic and Nuclear Chemistry. 30: 2493-2499.  0.028
1971 Dutt NK, Rahut S. Chemistry of lanthanons-XXXI. Lanthanide chelates of ethyl benzoylacetate and 3-alkyl ethylacetoacetates Journal of Inorganic and Nuclear Chemistry. 33: 1725-1731. DOI: 10.1016/0022-1902(71)80609-7  0.027
1969 Dutt NK, Rahut S. Chemistry of lanthanons-XXIII The formation constants of the ethyl acetoacetato complexes of rare earths Journal of Inorganic and Nuclear Chemistry. 31: 3177-3179.  0.027
2000 Dutt N, Berney DM. Clear cell carcinoma of the ovary arising in a mucinous cystadenoma Journal of Clinical Pathology. 53: 938-939. PMID 11265180 DOI: 10.1136/jcp.53.12.938  0.026
1971 Dutt NK, Chakder NC. Complexes of cobalt(II) with some thiocarbazide derivatives Journal of Inorganic and Nuclear Chemistry. 33: 393-403. DOI: 10.1016/0022-1902(71)80381-0  0.026
1978 Dutt NH, Inoue S. Effect of starvation & hypophysectomy on amino acid levels of ovaries of Triturus pyrrhogaster laur Indian Journal of Experimental Biology. 16: 718-720. PMID 700806  0.026
2019 Azimi I, Oti O, Labbaf S, Niela-Vilen H, Axelin A, Dutt N, Liljeberg P, Rahmani AM. Personalized Maternal Sleep Quality Assessment: An Objective IoT-based Longitudinal Study Ieee Access. 7: 93433-93447. DOI: 10.1109/ACCESS.2019.2927781  0.024
2001 McCluggage WG, Berney DM, Dutt N. Clear cell carcinoma of the ovary arising in a mucinous cystadenoma [2] (multiple letters) Journal of Clinical Pathology. 54: 655-656. PMID 11477127 DOI: 10.1136/jcp.54.8.655  0.024
1969 Dutt NK, Nag K. Chemistry of lanthanons - XXI rare earth complexes of some heterocyclic carboxylic acids Journal of Inorganic and Nuclear Chemistry. 31: 1867-1869.  0.024
1974 Dutt NR. Studies on antihistaminics in acute radiation burn Journal of the Indian Medical Association. 62: 1-9. PMID 4151992  0.024
2014 Dutt N, King AA. The judgment of garbage: End-of-pipe treatment and waste reduction Management Science. 60: 1812-1828. DOI: 10.1287/mnsc.2013.1827  0.023
1961 Dutt NK, Ahmed AD. Dalzin (diallyl-dithio-carbamido hydrazine) as a micro-reagent. I - Determinations of copper, zinc and nickel and their separations Mikrochimica Acta. 49: 571-575. DOI: 10.1007/BF01217513  0.022
1969 Dutt NK, Seshadri T. Organic reagents used in inorganic analysis-V Determination of formation constants of uranium(VI) chelates with several hydroxamic and N-arylhydoxamic acids Journal of Inorganic and Nuclear Chemistry. 31: 2153-2157.  0.022
1969 Dutt NK, Sur S. Chemistry of lanthanons-XXII Hydroxo aquo bis benzoyl acetonates of rare earths and mixed hetero chelates derived from them Journal of Inorganic and Nuclear Chemistry. 31: 3171-3176.  0.021
1986 Suresh R, Dutt NH. Seasonal changes in the annual reproductive cycle of the male wild mouse Mus platythrix Bennet Folia Morphologica. 34: 230-237. PMID 3781394  0.02
2010 Dutt N. Vicarious learning in the presence of Managerial Bias European Management Review. 7: 132. DOI: 10.1057/emr.2010.4  0.02
2022 Reich SM, Tulagan N, Dahlin M, Labaff S, Dutt N, Rahmani A. Pregnant in a Pandemic: Connecting Perceptions of Uplifts and Hassles to Mental Health. Journal of Health Psychology. 13591053221120115. PMID 36036227 DOI: 10.1177/13591053221120115  0.02
1972 Dutt NK, Sanyal GS. Polarographic behaviour of quinoxaline-2-carboxylic acid and its derivatives at the dropping mercury electrode and amperometric determination of copper, zinc, cobalt and nickel with quinoxaline-2-carboxylic acid Fresenius' Zeitschrift FüR Analytische Chemie. 258: 107-110. DOI: 10.1007/BF00424128  0.018
1967 Dutt NK, Upadhyaya S. Chemistry of lanthanons-XVI. Mixed chelates of La, Pr, Nd, Sm and Y with propionylacetones and several N-coordinating ligands Journal of Inorganic and Nuclear Chemistry. 29: 1368-1372.  0.018
1969 Dutt NK, Seshadri T. Chemistry of lanthanons - XXIV formation constants of rare earth complexes with N-benzoyl N-phenylhydroxylamine (BPHA) Journal of Inorganic and Nuclear Chemistry. 31: 3336-3338.  0.017
1978 Madhyastha NA, Dutt NH. Prolactin cells of the pituitary homotransplant in the wild rodent Mus platythrix Bennett Indian Journal of Experimental Biology. 16: 1137-1140. PMID 750398  0.017
1964 Dutt NK, Bandyopadhyay P. Chemistry of the lanthanons-XIV. A new series of β-diketonates of Pr, Nd and Y Journal of Inorganic and Nuclear Chemistry. 26: 1610-1612.  0.017
1976 Gaind KN, Gupta RL, Dutt N. Kinetics of in vitro antibacterial activity of 3 methyl 5 (2,6,6 trimethyl cyclohex 1 enyl) penta 2,4 dien 1 oic acid Indian Journal of Pharmacy. 38: 83-85.  0.015
1969 Dutt NH, Govindan P. Localization of polysaccharides, -SH and SS groups in the oocytes of Anabas scandens (Cuvier) Zeitschrift Fur Mikroskopisch-Anatomische Forschung - Abteilung 2. 80: 399-418. PMID 4188565  0.015
1966 Dutt NH. The localization of nucleic acids and proteins in the oocytes of Anabas scandens (Cuvier) Zeitschrift Fur Mikroskopisch-Anatomische Forschung - Abteilung 2. 74: 179-192. PMID 6010490  0.014
1967 Dutt NH, Govindan P. The localization of lipids during oogenesis in Anabas scandens (Cuvier) Zeitschrift Fur Mikroskopisch-Anatomische Forschung - Abteilung 2. 76: 390-403. PMID 4180603  0.013
2023 Guo Y, Xu Q, Dutt N, Kehoe P, Qu A. Longitudinal changes in objective sleep parameters during pregnancy. Women's Health (London, England). 19: 17455057231190952. PMID 37650368 DOI: 10.1177/17455057231190952  0.012
1958 Dutt NK, Bandyopadhyay P. Anomalous behaviour of β-diketone complexes of the lanthanons in organic solvents [31] Nature. 181: 1682. DOI: 10.1038/1811682b0  0.011
1971 Dutt NH, Rajasekharasetty MR. Effect of nemibutal and nialamide on the pars intermedia and skin colour changes in Rana cyanophlyctis Schneider Acta Endocrinologica. 68: 264-270. PMID 4331244  0.01
1955 Dutt NK, Chowdhury AK. Chemistry of thorium. Volumetric determination of thorium Analytica Chimica Acta. 12: 515-518.  0.01
2021 Guo Y, Kehoe P, Pimentel P, Rousseau J, Axelin A, Rahmani AM, Dutt N. Exercise and Stress in At-Risk Women during Pregnancy and Postpartum. McN. the American Journal of Maternal Child Nursing. 46: 217-222. PMID 34166239 DOI: 10.1097/NMC.0000000000000722  0.01
2016 Purohit S, Dutt N, Saini LK. Transbronchial lung biopsy in diffuse parenchymal lung disease - Question still remains whether to go for surgical lung biopsy or not? Lung India : Official Organ of Indian Chest Society. 33: 117-8. PMID 26933331 DOI: 10.4103/0970-2113.173078  0.01
2016 Kuwal A, Dutt N, Chauhan N. Rheumatoid arthritis associated interstitial lung disease: 1 year is too much to exclude methotrexate-induced pulmonary involvement Lung India. 33: 467-468. DOI: 10.4103/0970-2113.184950  0.01
2012 Dutt N. Spirometry in bronchial asthma role of TB Chest. 142: 1072-1073. PMID 23032465 DOI: 10.1378/chest.12-1255  0.01
2012 Dutt N, Hari DT. CT screening for lung cancer: So near, yet so far Thorax. 67: 651-652. PMID 21917653 DOI: 10.1136/thoraxjnl-2011-200762  0.01
2012 Dutt N, Singh AK. Sputum cytology for lung cancer: Not just part of the past Lung India. 29: 199. DOI: 10.4103/0970-2113.95352  0.01
2011 Dutt N, Chaudhry K. Killer weekends: Can we do something? European Respiratory Journal. 38: 1241. PMID 22045799 DOI: 10.1183/09031936.00106811  0.01
2011 Dutt N. Duration of isoniazid preventive therapy in HIV-infected patients The Lancet. 378: 1216. PMID 21962553 DOI: 10.1016/S0140-6736(11)61532-8  0.01
2008 Mishra P, Dutt N. Preface Processor Description Languages. DOI: 10.1016/B978-012374287-2.50002-1  0.01
1975 Dutt NK, Sarma UUM. Chemistry of lanthanous-XL. Solution stabilities of lanthanide ions with dimedone (5,5-dimethyl 1,3-cyclohexanedione) Journal of Inorganic and Nuclear Chemistry. 37: 606-607. DOI: 10.1016/0022-1902(75)80394-0  0.01
1975 Dutt NK, Sarma UUM. Chemistry of lanthanons-XLI. Isolation and characterisation of tris chelates of lanthanides with maltol, kojic acid and chloro-kojic acid Journal of Inorganic and Nuclear Chemistry. 37: 1801-1802. DOI: 10.1016/0022-1902(75)80322-8  0.01
1969 Dutt NK, Sanyal GS. Use of quinoxaline-2-carboxylic acid and its 3-chloro- and 3-hydroxy derivatives in the complexometric determination of palladium Fresenius' Zeitschrift FüR Analytische Chemie. 244: 318-319. DOI: 10.1007/BF00537859  0.01
1969 Dutt NK, Sanyal GS. Cyanometric determination of palladium with quinoxaline-2-carboxylic acid and its 3-chloro- and 3-hydroxy derivatives Fresenius' Zeitschrift FüR Analytische Chemie. 244: 318. DOI: 10.1007/BF00537858  0.01
1969 Dutt NK, Seshadri T. Spectrophotometric determination of osmium with 2-aminocyclopentene-1-dithiocarboxylic acid Analytica Chimica Acta. 47: 571-572.  0.01
1961 Dutt N. Studies on the bionomics of the jute stem girdler, Nupserha bicolor postbrunnea Dutt (COL., LAMIIDAE) Bulletin of Entomological Research. 51: 765-779. DOI: 10.1017/S0007485300057655  0.01
1958 DUTT N. Preliminary observations on stapes mobilisation in otosclerosis Journal of the Indian Medical Association. 31: 158-163. PMID 13588017  0.01
1958 Dutt NR. Paralysis of the seventh nerve British Journal of Plastic Surgery. 11: 278-287.  0.01
1956 Dutt N. Mandibular length in nupserha bicolor thoms. ssp. postbrunnea Breun. (Col., Lamiidae) as the factor in determining the site of oviposition in corchorus olitorius Bulletin of Entomological Research. 47: 777-783. DOI: 10.1017/S0007485300047003  0.01
1953 Dutt NL. The breeding of sugarcane Proceedings of the Indian Academy of Sciences - Section B. 38: 81-88. DOI: 10.1007/BF03050357  0.01
1938 Rây P, Dutt NK. Chinaldinsäure als analytisches Reagens III - Die Bestimmung von Zink in Gegenwart von Kupfer, Silber und Quecksilber Zeitschrift FüR Analytische Chemie. 115: 265-268. DOI: 10.1007/BF01737739  0.01
Hide low-probability matches.