Veena Misra - Publications

Affiliations: 
Electrical and Computer Engineering North Carolina State University, Raleigh, NC 
Area:
Electronics and Electrical Engineering
Website:
https://ece.ncsu.edu/people/vmisra/

152 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Mohaddes F, da Silva R, Akbulut F, Zhou Y, Tanneeru A, Lobaton E, Lee B, Misra V. A Pipeline for Adaptive Filtering and Transformation of Noisy Left-Arm ECG to Its Surrogate Chest Signal Electronics. 9: 866. DOI: 10.3390/Electronics9050866  0.441
2020 Azam F, Tanneeru A, Lee B, Misra V. Engineering a Unified Dielectric Solution for AlGaN/GaN MOS-HFET Gate and Access Regions Ieee Transactions On Electron Devices. 67: 881-887. DOI: 10.1109/Ted.2020.2969394  0.609
2020 Sargolzaeiaval Y, Padmanabhan Ramesh V, Neumann TV, Misra V, Vashaee D, Dickey MD, Öztürk MC. Flexible thermoelectric generators for body heat harvesting – Enhanced device performance using high thermal conductivity elastomer encapsulation on liquid metal interconnects Applied Energy. 262: 114370. DOI: 10.1016/J.Apenergy.2019.114370  0.391
2019 Lee B, Kirkpatrick C, Choi Y, Yang X, Wang Y, Yang X, Huang A, Misra V. Impact of ALD Gate Dielectrics (SiO2, HfO2, and SiO2/HAH) on Device Electrical Characteristics and Reliability of AlGaN/GaN MOSHFET Devices Ecs Transactions. 41: 445-450. DOI: 10.1149/1.3633060  0.327
2019 Misra V, Jha R, Chen B, Lee J, Lee B. Feasibility of Dipole Based Work Function Tuning for Sub-1nm EOT Metal Gated High-K Stacks Ecs Transactions. 3: 275-287. DOI: 10.1149/1.2356287  0.534
2019 Xu X‐, McLarty PK, Brush H, Misra V, Wortman JJ, Harris GS. Characterization of Thin Silicon Oxynitride Films Prepared by Low Pressure Rapid Thermal Chemical Vapor Deposition Journal of the Electrochemical Society. 140: 2970-2974. DOI: 10.1149/1.2220941  0.361
2019 Yang X, Lee B, Misra V. Effects of LaSiOx Thickness and Forming Gas Anneal Temperature on Threshold Voltage Instability of 4H-SiC MOSFETs With LaSiOx Ieee Transactions On Electron Devices. 66: 539-545. DOI: 10.1109/Ted.2018.2875094  0.582
2018 Kang MS, Lee BM, Misra V. Improved Threshold Voltage Instability in 4H-SiC MOSFETs with Atomic Layer Deposited SiO2 Materials Science Forum. 924: 498-501. DOI: 10.4028/Www.Scientific.Net/Msf.924.498  0.529
2018 Kang MS, Lawless K, Lee BM, Misra V. Effect of High Temperature Forming Gas Annealing on Electrical Properties of 4H-SiC Lateral MOSFETs with Lanthanum Silicate and ALD SiO2 Gate Dielectric Materials Science Forum. 924: 482-485. DOI: 10.4028/Www.Scientific.Net/Msf.924.482  0.457
2018 Yang X, Lee B, Misra V. Improvement of Threshold Voltage Reliability of 4H-SiC MOSFETs With Lanthanum Silicate by High Temperature Forming Gas Anneal Ieee Electron Device Letters. 39: 244-247. DOI: 10.1109/Led.2017.2785851  0.608
2018 Lim M, Mills S, Lee B, Misra V. Investigation of O3 Adsorption on Ultra-Thin ALD SnO2 by QCM Ieee Sensors Journal. 18: 3590-3594. DOI: 10.1109/Jsen.2018.2815698  0.486
2017 Sarkar B, Mills S, Lee B, Pitts WS, Misra V, Franzon PD. On Using the Volatile Mem-Capacitive Effect of TiO2 Resistive Random Access Memory to Mimic the Synaptic Forgetting Process Journal of Electronic Materials. 47: 994-997. DOI: 10.1007/S11664-017-5914-X  0.521
2016 Dieffenderfer J, Goodell H, Mills S, McKnight M, Yao S, Lin F, Beppler E, Bent B, Lee B, Misra V, Zhu Y, Oralkan O, Strohmaier J, Muth J, Peden D, et al. Low Power Wearable Systems for Continuous Monitoring of Environment and Health for Chronic Respiratory Disease. Ieee Journal of Biomedical and Health Informatics. PMID 27249840 DOI: 10.1109/Jbhi.2016.2573286  0.459
2016 Singamaneni SR, Prater J, Lee B, Misra V, Narayan J. Memristive behavior in BaTiO3/La0.7Sr0.3MnO3 heterostructures integrated with semiconductors Mrs Advances. 1: 275-280. DOI: 10.1557/Adv.2016.70  0.608
2016 Yang X, Lee B, Misra V. Electrical Characteristics of SiO2 Deposited by Atomic Layer Deposition on 4H-SiC after Nitrous Oxide Anneal Ieee Transactions On Electron Devices. 63: 2826-2830. DOI: 10.1109/Ted.2016.2565665  0.663
2016 Ramanan N, Lee B, Misra V. Physical understanding of trends in current collapse with atomic layer deposited dielectrics in AlGaN/GaN MOS heterojunction FETs Semiconductor Science and Technology. 31. DOI: 10.1088/0268-1242/31/3/035016  0.802
2015 Jain S, Reddy M, Raghav P, Jain S, Anjum A, Misra V, Suri R. Assessment of tooth proportions in an aesthetically acceptable smile. Journal of Clinical and Diagnostic Research : Jcdr. 9: ZC01-4. PMID 26023632 DOI: 10.7860/JCDR/2015/11338.5771  0.698
2015 Mills S, Lim M, Lee B, Misra V. Atomic Layer Deposition of SnO2 for selective room temperature low ppb level O3 sensing Ecs Journal of Solid State Science and Technology. 4: S3059-S3061. DOI: 10.1149/2.0111510Jss  0.552
2015 Lim M, Mills S, Lee B, Misra V. Application of AlGaN/GaN heterostructures for ultra-low power nitrogen dioxide sensing Ecs Journal of Solid State Science and Technology. 4: S3034-S3037. DOI: 10.1149/2.0101510Jss  0.478
2015 Yang X, Lee B, Misra V. Investigation of Lanthanum Silicate Conditions on 4H-SiC MOSFET Characteristics Ieee Transactions On Electron Devices. DOI: 10.1109/Ted.2015.2480047  0.623
2015 Ramanan N, Lee B, Misra V. Comparison of methods for accurate characterization of interface traps in GaN MOS-HFET devices Ieee Transactions On Electron Devices. 62: 546-553. DOI: 10.1109/Ted.2014.2382677  0.804
2015 Yang X, Lee B, Misra V. High Mobility 4H-SiC Lateral MOSFETs Using Lanthanum Silicate and Atomic Layer Deposited SiO2 Ieee Electron Device Letters. 36: 312-314. DOI: 10.1109/Led.2015.2399891  0.629
2015 Ramanan N, Lee B, Misra V. ALD gate dielectrics for improved threshold voltage stability in AlGaN/GaN MOS-HFETs for power applications Semiconductor Science and Technology. 30. DOI: 10.1088/0268-1242/30/12/125017  0.837
2015 Sarkar B, Lee B, Misra V. Understanding the gradual reset in Pt/Al2O3/Ni RRAM for synaptic applications Semiconductor Science and Technology. 30. DOI: 10.1088/0268-1242/30/10/105014  0.54
2015 Ramanan N, Lee B, Misra V. Accurate characterization and understanding of interface trap density trends between atomic layer deposited dielectrics and AlGaN/GaN with bonding constraint theory Applied Physics Letters. 106. DOI: 10.1063/1.4922799  0.826
2015 Singamaneni SR, Prater JT, Nori S, Kumar D, Lee B, Misra V, Narayan J. Ferroelectric and magnetic properties of multiferroic BiFeO3-La0.7Sr0.3MnO3 heterostructures integrated with Si (100) Journal of Applied Physics. 117. DOI: 10.1063/1.4913811  0.586
2014 Yang XY, Lee BM, Misra V. High mobility 4H-SiC MOSFETs using lanthanum silicate interface engineering and ALD deposited SiO2 Materials Science Forum. 778: 557-561. DOI: 10.4028/Www.Scientific.Net/Msf.778-780.557  0.519
2014 Yang X, Lee B, Misra V. Effect of post deposition annealing for high mobility 4H-SiC MOSFET utilizing lanthanum silicate and atomic layer deposited SiO2 2nd Ieee Workshop On Wide Bandgap Power Devices and Applications, Wipda 2014. 117-120. DOI: 10.1109/WiPDA.2014.6964637  0.325
2014 Ramanan N, Lee B, Misra V. Device modeling for understanding AlGaN/GaN HEMT gate-lag Ieee Transactions On Electron Devices. 61: 2012-2018. DOI: 10.1109/Ted.2014.2313814  0.798
2014 Sarkar B, Ramanan N, Jayanti S, Spigna ND, Lee B, Franzon P, Misra V. Dual floating gate unified memory MOSFET with simultaneous dynamic and non-volatile operation Ieee Electron Device Letters. 35: 48-50. DOI: 10.1109/Led.2013.2289751  0.783
2014 Kirkpatrick C, Lee B, Ramanan N, Misra V. Flash MOS-HFET operational stability for power converter circuits Physica Status Solidi (C) Current Topics in Solid State Physics. 11: 875-878. DOI: 10.1002/Pssc.201300547  0.811
2013 Wang HN, Dhawan A, Du Y, Batchelor D, Leonard DN, Misra V, Vo-Dinh T. Molecular sentinel-on-chip for SERS-based biosensing. Physical Chemistry Chemical Physics : Pccp. 15: 6008-15. PMID 23493773 DOI: 10.1039/C3Cp00076A  0.301
2013 Haney SK, Misra V, Lichtenwalner DJ, Agarwal A. Investigation of nitrided atomic-layer-deposited oxides in 4H-SiC capacitors and MOSFETs Materials Science Forum. 740: 707-710. DOI: 10.4028/Www.Scientific.Net/Msf.740-742.707  0.457
2013 Sarkar B, Jayanti S, Di Spigna N, Lee B, Misra V, Franzon P. Investigation of intermediate dielectric for dual floating gate MOSFET 13th Non-Volatile Memory Technology Symposium, Nvmts 2013. DOI: 10.1109/NVMTS.2013.6851052  0.317
2013 Lee B, Choi YH, Kirkpatrick C, Huang AQ, Misra V. Improved high-temperature device transport properties and off-state characteristics of AlGaN/GaN power devices with atomic layer deposition (ALD) HfAlO high-k dielectric Semiconductor Science and Technology. 28. DOI: 10.1088/0268-1242/28/7/074016  0.769
2013 Ramanan N, Lee B, Kirkpatrick C, Suri R, Misra V. Properties of atomic layer deposited dielectrics for AlGaN/GaN device passivation Semiconductor Science and Technology. 28. DOI: 10.1088/0268-1242/28/7/074004  0.833
2012 Lee B, Novak SR, Biswas N, Misra V. The Role of Rare Earth Metals on Effective Work Function Modulation of Nickel Fully-Silicided Gate/High-$k$ Dielectric Stacks for n-Channel Metal Oxide Semiconductor Device Applications Japanese Journal of Applied Physics. 51: 011802. DOI: 10.1143/Jjap.51.011802  0.842
2012 Coan M, Johnson D, Hwan Woo J, Biswas N, Misra V, Majhi P, Rusty Harris H. Work function extraction of metal gates with alternate channel materials Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 30: 022202. DOI: 10.1116/1.3679412  0.433
2012 Kirkpatrick CJ, Lee B, Suri R, Yang X, Misra V. Atomic layer deposition of SiO 2 for AlGaN/GaN MOS-HFETs Ieee Electron Device Letters. 33: 1240-1242. DOI: 10.1109/Led.2012.2203782  0.854
2012 Kaushal V, Íñiguez-De-La-Torre I, Gonzalez T, Mateos J, Lee B, Misra V, Margala M. Effects of a high-k dielectric on the performance of III-V ballistic deflection transistors Ieee Electron Device Letters. 33: 1120-1122. DOI: 10.1109/Led.2012.2197669  0.64
2012 Lee B, Kirkpatrick C, Choi YH, Yang X, Huang AQ, Misra V. Normally-off AlGaN/GaN MOSHFET using ALD SiO 2 tunnel dielectric and ALD HfO 2 charge storage layer for power device application Physica Status Solidi (C) Current Topics in Solid State Physics. 9: 868-870. DOI: 10.1002/Pssc.201100422  0.767
2012 Kirkpatrick C, Lee B, Choi Y, Huang A, Misra V. Threshold voltage stability comparison in AlGaN/GaN FLASH MOS-HFETs utilizing charge trap or floating gate charge storage Physica Status Solidi (C) Current Topics in Solid State Physics. 9: 864-867. DOI: 10.1002/Pssc.201100421  0.738
2011 Kayis C, Leach JH, Zhu CY, Wu M, Li X, Yang X, Misra V, Handel PH, Özgür U, Morkoç H. Low-frequency noise measurements of generation-recombination effect and field-assisted emission in AlGaN/GaN MOSHFETs and HFETs Proceedings of Spie - the International Society For Optical Engineering. 7939. DOI: 10.1117/12.875692  0.373
2011 Lee B, Lichtenwalner DJ, Novak SR, Misra V. Impact of AlTaO Dielectric Capping on Device Performance and Reliability for Advanced Metal Gate/High-$k$ PMOS Application Ieee Transactions On Electron Devices. 58: 2928-2935. DOI: 10.1109/Ted.2011.2160064  0.821
2011 Lee B, Novak SR, Lichtenwalner DJ, Yang X, Misra V. Investigation of the Origin of $V_{T}/V_{\rm FB}$ Modulation by $\hbox{La}_{2}\hbox{O}_{3}$ Capping Layer Approaches for NMOS Application: Role of La Diffusion, Effect of Host High- $k$ Layer, and Interface Properties Ieee Transactions On Electron Devices. 58: 3106-3115. DOI: 10.1109/Ted.2011.2159306  0.817
2011 Ramanan N, Misra V. Multivalued logic using a novel multichannel GaN MOS structure Ieee Electron Device Letters. 32: 1379-1381. DOI: 10.1109/Led.2011.2163149  0.759
2011 Jayanti S, Misra V. Suppression of dielectric crystallization on metal by introduction of SiO2 layer for metal floating gate memory blocking oxide Applied Physics Letters. 99. DOI: 10.1063/1.3661173  0.805
2011 Jeff RC, Yun M, Ramalingam B, Lee B, Misra V, Triplett G, Gangopadhyay S. Charge storage characteristics of ultra-small Pt nanoparticle embedded GaAs based non-volatile memory Applied Physics Letters. 99: 072104. DOI: 10.1063/1.3625426  0.588
2011 Kirkpatrick C, Lee B, Yang X, Misra V. Performance improvement of AlGaN/GaN high electron mobility transistors with atomic layer deposition (ALD) of SiO2 and HfAlO dielectrics Physica Status Solidi (C). 8: 2445-2447. DOI: 10.1002/Pssc.201001064  0.788
2011 Kayis C, Leach JH, Zhu CY, Wu M, Li X, Özgür U, Morkoç H, Yang X, Misra V, Handel PH. Measurements of generation-recombination effect by low-frequency phase-noise technique in AlGaN/GaN MOSHFETs Physica Status Solidi (C) Current Topics in Solid State Physics. 8: 1539-1543. DOI: 10.1002/Pssc.201000873  0.376
2010 Vo-Dinh T, Dhawan A, Norton SJ, Khoury CG, Wang HN, Misra V, Gerhold MD. Plasmonic Nanoparticles and Nanowires: Design, Fabrication and Application in Sensing. The Journal of Physical Chemistry. C, Nanomaterials and Interfaces. 114: 7480-7488. PMID 24839505 DOI: 10.1021/Jp911355Q  0.327
2010 Novak S, Lee B, Yang X, Misra V. Platinum Nanoparticles Grown by Atomic Layer Deposition for Charge Storage Memory Applications Journal of the Electrochemical Society. 157: H589. DOI: 10.1149/1.3365031  0.806
2010 Kayis C, Leach JH, Zhu CY, Wu M, Li X, Özgür U, Morkoç H, Yang X, Misra V, Handel PH. Low-frequency noise measurements of AlGaN/GaN Metal-Oxide-Semiconductor heterostructure field-effect transistors with HfAlO gate dielectric Ieee Electron Device Letters. 31: 1041-1043. DOI: 10.1109/Led.2010.2055823  0.361
2010 Dhawan A, Du Y, Yan F, Gerhold MD, Misra V, Vo-Dinh T. Methodologies for developing surface-enhanced raman scattering (SERS) substrates for detection of chemical and biological molecules Ieee Sensors Journal. 10: 608-616. DOI: 10.1109/Jsen.2009.2038634  0.347
2010 Lee B, Kirkpatrick C, Yang X, Jayanti S, Suri R, Roberts J, Misra V. Normally-off AlGaN/GaN-on-Si MOSHFETs with TaN floating gates and ALD SiO2 tunnel dielectrics Technical Digest - International Electron Devices Meeting, Iedm. DOI: 10.1109/IEDM.2010.5703401  0.417
2010 Suri R, Lichtenwalner DJ, Misra V. Interfacial self cleaning during atomic layer deposition and annealing of HfO2 films on native (100)-GaAs substrates Applied Physics Letters. 96: 112905. DOI: 10.1063/1.3357422  0.807
2010 Jayanti S, Yang X, Lichtenwalner DJ, Misra V. Technique to improve performance of Al2O3 interpoly dielectric using a La2O3 interface scavenging layer for floating gate memory structures Applied Physics Letters. 96. DOI: 10.1063/1.3355547  0.812
2010 Suri R, Kirkpatrick CJ, Lichtenwalner DJ, Misra V. Energy-band alignment of Al2O3 and HfAlO gate dielectrics deposited by atomic layer deposition on 4H–SiC Applied Physics Letters. 96: 042903. DOI: 10.1063/1.3291620  0.799
2010 Sarkar S, Culp JH, Whyland JT, Garvan M, Misra V. Encapsulation of organic solar cells with ultrathin barrier layers deposited by ozone-based atomic layer deposition Organic Electronics. 11: 1896-1900. DOI: 10.1016/J.Orgel.2010.08.020  0.432
2009 Lichtenwalner DJ, Misra V, Dhar S, Ryu S, Agarwal A. Gate Stack Reliability of High-Mobility 4H SiC Lateral MOSFETs with Deposited Al2O3 Gate Dielectric Mrs Proceedings. 1195. DOI: 10.1557/Proc-1195-B04-03  0.448
2009 Suri R, Lichtenwalner DJ, Misra V. Interface and Electrical Properties of Atomic-layer-deposited HfAlO Gate Dielectric for N-channel GaAs MOSFETs Mrs Proceedings. 1155. DOI: 10.1557/Proc-1155-C10-06  0.834
2009 Chen Z, Sarkar S, Biswas N, Misra V. Atomic Layer Deposition of Hafnium Dioxide on TiN and Self-Assembled Monolayer Molecular Film Journal of the Electrochemical Society. 156: H561. DOI: 10.1149/1.3125722  0.439
2009 Alptekin E, Ozturk MC, Misra V, Cho Y, Kim Y, Chopra S. Erbium Silicide Formation on Si[sub 1−x]C[sub x] Epitaxial Layers Journal of the Electrochemical Society. 156: H378. DOI: 10.1149/1.3097189  0.79
2009 Alptekin E, Kirkpatrick CJ, Misra V, Ozturk MC. Platinum Germanosilicide Contacts Formed on Strained and Relaxed $\hbox{Si}_{1 - x}\hbox{Ge}_{x}$ Layers Ieee Transactions On Electron Devices. 56: 1220-1227. DOI: 10.1109/Ted.2009.2018159  0.44
2009 Yun M, Mueller DW, Hossain M, Misra V, Gangopadhyay S. Sub-2 nm Size-Tunable High-Density Pt Nanoparticle Embedded Nonvolatile Memory Ieee Electron Device Letters. 30: 1362-1364. DOI: 10.1109/Led.2009.2033618  0.356
2009 Alptekin E, Ozturk M, Misra V. Schottky Barrier Height of Erbium Silicide on $ \hbox{Si}_{1 - x}\hbox{C}_{x}$ Ieee Electron Device Letters. 30: 949-951. DOI: 10.1109/Led.2009.2026297  0.369
2009 Alptekin E, Ozturk M, Misra V. Tuning of the Platinum Silicide Schottky Barrier Height on n-Type Silicon by Sulfur Segregation Ieee Electron Device Letters. 30: 331-333. DOI: 10.1109/Led.2009.2014182  0.45
2009 Lichtenwalner DJ, Misra V, Dhar S, Ryu S, Agarwal A. High-mobility enhancement-mode 4H-SiC lateral field-effect transistors utilizing atomic layer deposited Al2O3 gate dielectric Applied Physics Letters. 95: 152113. DOI: 10.1063/1.3251076  0.537
2009 Suresh A, Novak S, Wellenius P, Misra V, Muth JF. Transparent indium gallium zinc oxide transistor based floating gate memory with platinum nanoparticles in the gate dielectric Applied Physics Letters. 94. DOI: 10.1063/1.3106629  0.418
2008 Luo Y, Du Y, Misra V. Large area nanorings fabricated using an atomic layer deposition Al(2)O(3) spacer for magnetic random access memory application. Nanotechnology. 19: 265301. PMID 21828677 DOI: 10.1088/0957-4484/19/26/265301  0.359
2008 Lichtenwalner DJ, Suri R, Misra V. Effect of GaAs Surface Treatments on Lanthanum Silicate High-K Dielectric Gate Stack Properties Mrs Proceedings. 1073. DOI: 10.1557/Proc-1073-H06-04  0.785
2008 Suri R, Lee B, Lichtenwalner DJ, Biswas N, Misra V. Electrical characteristics of metal-oxide-semiconductor capacitors on p-GaAs using atomic layer deposition of ultrathin HfAlO gate dielectric Applied Physics Letters. 93: 193504. DOI: 10.1063/1.3007978  0.85
2008 Suri R, Lichtenwalner DJ, Misra V. Impact of elemental arsenic on electrical characteristics of metal-oxide-semiconductor capacitors on GaAs using atomic-layer deposited HfO2 gate dielectric Applied Physics Letters. 92: 243506. DOI: 10.1063/1.2949079  0.826
2008 Sarkar S, Suresh A, Myers FB, Muth JF, Misra V. Modulating indium gallium zinc oxide transistor characteristics with discrete redox states of molecules embedded in the gate dielectric Applied Physics Letters. 92. DOI: 10.1063/1.2918981  0.408
2007 Lee B, Biswas N, Novak SR, Misra V. Characteristics of Ni/Gd FUSI for NMOS Gate Electrode Applications Ieee Electron Device Letters. 28: 555-557. DOI: 10.1109/Led.2007.897889  0.82
2007 Maitra K, Frank MM, Narayanan V, Misra V, Cartier EA. Impact of metal gates on remote phonon scattering in titanium nitride/hafnium dioxide n -channel metal-oxide-semiconductor field effect transistors-low temperature electron mobility study Journal of Applied Physics. 102. DOI: 10.1063/1.2821712  0.831
2007 Chen Z, Lee B, Sarkar S, Gowda S, Misra V. A molecular memory device formed by HfO2 encapsulation of redox-active molecules Applied Physics Letters. 91: 173111. DOI: 10.1063/1.2800824  0.819
2007 Chopra S, Ozturk MC, Misra V, Ren Z, Mcneil LE. The effects of nickel germanosilicide contacts on the biaxial compressive stress in thin epitaxial silicon-germanium alloys on silicon Applied Physics Letters. 91. DOI: 10.1063/1.2795346  0.785
2007 Gowda S, Mathur G, Misra V. Valence band tunneling model for charge transfer of redox-active molecules attached to n - And p -silicon substrates Applied Physics Letters. 90. DOI: 10.1063/1.2720337  0.76
2006 Jha R, Chung J, Chen B, Nemanich R, Misra V. A Systematic Approach of Understanding and Retaining Pmos Compatible Work Function of Metal Electrodes On HfO2 Gate Dielectrics Mrs Proceedings. 917. DOI: 10.1557/Proc-0917-E04-05  0.73
2006 Chopra S, Ozturk MC, Misra V, McGuire K, McNeil L. Impact of heavy boron doping and nickel germanosilicide contacts on biaxial compressive strain in pseudomorphic silicon-germanium alloys on silicon Materials Research Society Symposium Proceedings. 913: 71-76. DOI: 10.1557/Proc-0913-D02-10  0.773
2006 Lichtenwalner DJ, Hydrick JM, Vankova V, Misra V, Maria JP, Kingon AI. Towards the fabrication of ultra-thin SOI on Si (001) using epitaxial oxide and epitaxial semiconductor growth processes Ecs Transactions. 3: 449-460. DOI: 10.1149/1.2355734  0.309
2006 Biswas N, Lee B, Misra V. On the issue of work function tuning of nickel silicide gates Ecs Transactions. 3: 317-331. DOI: 10.1149/1.2355723  0.375
2006 Lichtenwalner DJ, Jur JS, Jha R, Inoue N, Chen B, Misra V, Kingon AI. High-Temperature Stability of Lanthanum Silicate Gate Dielectric MIS Devices with Ta and TaN Electrodes Journal of the Electrochemical Society. 153: F210. DOI: 10.1149/1.2218757  0.748
2006 Chen B, Biswas N, Misra V. Electrical and Physical Analysis of MoTa Alloy for Gate Electrode Applications Journal of the Electrochemical Society. 153: G417. DOI: 10.1149/1.2180710  0.613
2006 Gowda S, Mathur G, Li Q, Surthi S, Misra V. Hybrid silicon/molecular FETs: A study of the interaction of redox-active molecules with silicon MOSFETs Ieee Transactions On Nanotechnology. 5: 258-264. DOI: 10.1109/Tnano.2006.874046  0.77
2006 Chen B, Jha R, Misra V. Work Function Tuning Via Interface Dipole by Ultrathin Reaction Layers Using AlTa and AlTaN Alloys Ieee Electron Device Letters. 27: 731-733. DOI: 10.1109/Led.2006.880643  0.724
2006 Chen B, Jha R, Lazar H, Biswas N, Lee J, Lee B, Wielunski L, Garfunkel E, Misra V. Influence of oxygen diffusion through capping layers of low work function metal gate electrodes Ieee Electron Device Letters. 27: 228-230. DOI: 10.1109/Led.2006.871184  0.809
2006 Chopra S, Ozturk MC, Misra V, McGuire K, McNeil LE. Critical thickness of heavily boron-doped silicon-germanium alloys Applied Physics Letters. 89. DOI: 10.1063/1.2374870  0.77
2006 Chopra S, Ozturk MC, Misra V, McGuire K, McNeil LE. Analysis of boron strain compensation in silicon-germanium alloys by Raman spectroscopy Applied Physics Letters. 88. DOI: 10.1063/1.2205752  0.77
2005 Zhao Q, Luo Y, Surthi S, Li Q, Mathur G, Gowda S, Larson PR, Johnson MB, Misra V. Redox-active monolayers on nano-scale silicon electrodes. Nanotechnology. 16: 257-61. PMID 21727432 DOI: 10.1088/0957-4484/16/2/013  0.782
2005 Suh Y, Lazar H, Chen B, Lee J, Misra V. Electrical Characteristics of HfO[sub 2] Dielectrics with Ru Metal Gate Electrodes Journal of the Electrochemical Society. 152: F138. DOI: 10.1149/1.1992467  0.836
2005 Mathur G, Gowda S, Li Q, Surthi S, Zhao Q, Misra V. Properties of functionalized redox-active monolayers on thin silicon dioxide - A study of the dependence of retention time on oxide thickness Ieee Transactions On Nanotechnology. 4: 278-283. DOI: 10.1109/Tnano.2004.842056  0.777
2005 Mathur G, Gowda S, Misra V. Threshold voltage-assisted reduction of molecules in hybrid silicon/molecular memory devices 2005 5th Ieee Conference On Nanotechnology. 1: 627-630. DOI: 10.1109/NANO.2005.1500793  0.357
2005 Gowda S, Mathur G, Li Q, Surthi S, Misra V. Approach for investigating lateral conduction in self-assembled monolayers Applied Physics Letters. 87: 1-3. DOI: 10.1063/1.2152112  0.745
2005 Jha R, Lee J, Majhi P, Misra V. Investigation of work function tuning using multiple layer metal gate electrodes stacks for complementary metal-oxide-semiconductor applications Applied Physics Letters. 87: 223503. DOI: 10.1063/1.2136425  0.671
2005 Biswas N, Gurganus J, Misra V. Work function tuning of nickel silicide by co-sputtering nickel and silicon Applied Physics Letters. 87: 171908. DOI: 10.1063/1.2115072  0.448
2005 Sivasubramani P, Zhao P, Kim MJ, Gnade BE, Wallace RM, Edge LF, Schlom DG, Parsons GN, Misra V. Thermal stability studies of advanced gate stack structures on Si (100) Aip Conference Proceedings. 788: 156-160. DOI: 10.1063/1.2062955  0.453
2005 Lin Y, Öztürk MC, Chen B, Rhee SJ, Lee JC, Misra V. Impact of Ge on integration of Hf O2 and metal gate electrodes on strained Si channels Applied Physics Letters. 87. DOI: 10.1063/1.2009809  0.816
2005 Chen B, Suh Y, Lee J, Gurganus J, Misra V, Cabral C. Physical and electrical analysis of RuxYy alloys for gate electrode applications Applied Physics Letters. 86: 053502. DOI: 10.1063/1.1857093  0.661
2005 Biswas N, Gurganus J, Misra V, Yang Y, Stemmer S. Evaluation of nickel and molybdenum silicides for dual gate complementary metal-oxide semiconductor application Applied Physics Letters. 86. DOI: 10.1063/1.1849850  0.509
2005 Liu Z, Schmidt I, Thamyongkit P, Loewe RS, Syomin D, Diers JR, Zhao Q, Misra V, Lindsey JS, Bocian DF. Synthesis and film-forming properties of ethynylporphyrins Chemistry of Materials. 17: 3728-3742. DOI: 10.1021/Cm047858Y  0.34
2005 Lee CK, Kim JY, Hong SN, Zhong H, Chen B, Misra V. Properties of Ta–Mo alloy gate electrode for n-MOSFET Journal of Materials Science. 40: 2693-2695. DOI: 10.1007/S10853-005-2108-3  0.775
2004 Liu Z, Yasseri AA, Loewe RS, Lysenko AB, Malinovskii VL, Zhao Q, Surthi S, Li Q, Misra V, Lindsey JS, Bocian DF. Synthesis of porphyrins bearing hydrocarbon tethers and facile covalent attachment to si(100). The Journal of Organic Chemistry. 69: 5568-77. PMID 15307725 DOI: 10.1021/Jo049439Q  0.374
2004 Loewe RS, Ambroise A, Muthukumaran K, Padmaja K, Lysenko AB, Mathur G, Li Q, Bocian DF, Misra V, Lindsey JS. Porphyrins bearing mono or tripodal benzylphosphonic acid tethers for attachment to oxide surfaces. The Journal of Organic Chemistry. 69: 1453-60. PMID 14986996 DOI: 10.1021/Jo034946D  0.324
2004 Suh Y, Heuss G, Misra V. Characteristics of TaSi[sub x]N[sub y] thin films as gate electrodes for dual gate Si-complementary metal-oxide-semiconductor devices Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 22: 175. DOI: 10.1116/1.1640398  0.564
2004 Jha R, Gurganos J, Kim YH, Choi R, Lee J, Misra V. A capacitance-based methodology for work function extraction of metals on high-/spl kappa/ Ieee Electron Device Letters. 25: 420-423. DOI: 10.1109/Led.2004.829032  0.639
2004 Li Q, Surthi S, Mathur G, Gowda S, Zhao Q, Sorenson TA, Tenent RC, Muthukumaran K, Lindsey JS, Misra V. Multiple-bit storage properties of porphyrin monolayers on SiO 2 Applied Physics Letters. 85: 1829-1831. DOI: 10.1063/1.1782254  0.778
2004 Kim YH, Choi R, Jha R, Lee JH, Misra V, Lee JC. Reliability of High-K Dielectrics and Its Dependence on Gate Electrode and Interfacial / High-K Bi-Layer Structure. Microelectronics Reliability. 44: 1513-1518. DOI: 10.1016/J.Microrel.2004.07.049  0.62
2004 Chen Z, Misra V, Haggerty RP, Stemmer S. Stability of Ru- And Ta-based metal gate electrodes in contact with dielectrics for Si-CMOS Physica Status Solidi (B) Basic Research. 241: 2253-2267. DOI: 10.1002/Pssb.200404933  0.411
2003 Suh Y, Heuss GP, Misra V, Park D, Lim K. Thermal Stability of TaSi[sub x]N[sub y] Films Deposited by Reactive Sputtering on SiO[sub 2] Journal of the Electrochemical Society. 150: F79. DOI: 10.1149/1.1562599  0.477
2003 Maitra K, Misra V. A Simulation Study to Evaluate the Feasibility of Midgap Workfunction Metal Gates in 25 nm Bulk CMOS Ieee Electron Device Letters. 24: 707-709. DOI: 10.1109/Led.2003.819267  0.812
2003 Suh Y, Heuss GP, Lee J, Misra V. Effect of the composition on the electrical properties of TaSi/sub x/N y metal gate electrodes Ieee Electron Device Letters. 24: 439-441. DOI: 10.1109/Led.2003.814009  0.578
2003 Li Q, Surthi S, Mathur G, Gowda S, Misra V, Sorenson TA, Tenent RC, Kuhr WG, Tamaru SI, Lindsey JS, Liu Z, Bocian DF. Electrical characterization of redox-active molecular monolayers on SiO2 for memory applications Applied Physics Letters. 83: 198-200. DOI: 10.1063/1.1584088  0.766
2002 Suh Y, Heuss G, Lee J, Misra V. The Effects of Nitrogen on Electrical and Structural Properties in TaSixNy/SiO2/p-Si MOS Capacitors Mrs Proceedings. 716. DOI: 10.1557/Proc-716-B8.6  0.564
2002 Misra V, Lucovsky G, Parsons G. Issues in High-ĸ Gate Stack Interfaces Mrs Bulletin. 27: 212-216. DOI: 10.1557/Mrs2002.73  0.479
2002 Misra V, Zhong H, Lazar H. Electrical properties of Ru-based alloy gate electrodes for dual metal gate Si-CMOS Ieee Electron Device Letters. 23: 354-356. DOI: 10.1109/Led.2002.1004233  0.82
2002 Li Q, Mathur G, Homsi M, Surthi S, Misra V, Malinovskii V, Schweikart KH, Yu L, Lindsey JS, Liu Z, Dabke RB, Yasseri A, Bocian DF, Kuhr WG. Capacitance and conductance characterization of ferrocene-containing self-assembled monolayers on silicon surfaces for memory applications Applied Physics Letters. 81: 1494-1496. DOI: 10.1063/1.1500781  0.425
2002 Suh Y, Heuss GP, Misra V. Electrical characteristics of TaSixNy/SiO2/Si structures by Fowler–Nordheim current analysis Applied Physics Letters. 80: 1403-1405. DOI: 10.1063/1.1453478  0.598
2001 Zhong H, Heuss G, Suh Y, Hong S, Misra V, Kelly J, Parsons G. Promising Gate Stacks with Ru & RuO2 Gate Electrodes and Y-silicate Dielectrics Mrs Proceedings. 670. DOI: 10.1557/Proc-670-K3.1  0.746
2001 Weintraub CE, Vogel E, Hauser JR, Yang N, Misra V, Wortman JJ, Ganem J, Masson P. Study of low-frequency charge pumping on thin stacked dielectrics Ieee Transactions On Electron Devices. 48: 2754-2762. DOI: 10.1109/16.974700  0.504
2001 Lazar HR, Misra V, Johnson RS, Lucovsky G. Characteristics of metalorganic remote plasma chemical vapor deposited Al2O3 gate stacks on SiC metal–oxide–semiconductor devices Applied Physics Letters. 79: 973-975. DOI: 10.1063/1.1392973  0.831
2001 Misra V, Heuss GP, Zhong H. Use of metal–oxide–semiconductor capacitors to detect interactions of Hf and Zr gate electrodes with SiO2 and ZrO2 Applied Physics Letters. 78: 4166-4168. DOI: 10.1063/1.1380240  0.691
2001 Zhong H, Heuss G, Misra V, Luan H, Lee C, Kwong D. Characterization of RuO2 electrodes on Zr silicate and ZrO2 dielectrics Applied Physics Letters. 78: 1134-1136. DOI: 10.1063/1.1347402  0.705
2001 Misra V, Kulkarni M, Zhong H. N and P metal oxide semiconductor field effect transistor characteristics of hafnium-doped SiO2 gate dielectrics Journal of Electronic Materials. 30: 1499-1505. DOI: 10.1007/S11664-001-0165-1  0.705
2001 Zhong H, Heuss G, Suh Y, Misra V, Hong S. Electrical properties of Ru and RuO2 gate electrodes for Si-PMOSFET with ZrO2 and Zr-silicate dielectrics Journal of Electronic Materials. 30: 1493-1498. DOI: 10.1007/S11664-001-0164-2  0.754
2000 Wang Z, Parker CG, Hodge DW, Croswell RT, Yang N, Misra V, Hauser JR. Effect of polysilicon gate type on the flatband voltage shift for ultrathin oxide-nitride gate stacks Ieee Electron Device Letters. 21: 170-172. DOI: 10.1109/55.830971  0.49
1999 Misra V, Lazar H, Kulkami M, Wang Z, Lucovsky G, Hauser J. Interfacial Properties of Si-Si3N4formed by Remote Plasma Enhanced Chemical Vapor Deposition Mrs Proceedings. 567. DOI: 10.1557/Proc-567-89  0.82
1999 Misra V, Lazar H, Wang Z, Wu Y, Niimi H, Lucovsky G, Wortman JJ, Hauser JR. Interfacial properties of ultrathin pure silicon nitride formed by remote plasma enhanced chemical vapor deposition Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 17: 1836. DOI: 10.1116/1.590835  0.836
1999 Lucovsky G, Wu Y, Niimi H, Misra V, Phillips JC. Bonding constraint-induced defect formation at Si-dielectric interfaces and internal interfaces in dual-layer gate dielectrics Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures. 17: 1806. DOI: 10.1116/1.590831  0.474
1999 Lucovsky G, Wu Y, Niimi H, Misra V, Phillips JC. Bonding constraints and defect formation at interfaces between crystalline silicon and advanced single layer and composite gate dielectrics Applied Physics Letters. 74: 2005-2007. DOI: 10.1063/1.123728  0.477
1997 Morfouli P, Ghibaudo G, Vogel E, Hill W, Misra V, McLarty P, Wortman J. Electrical and reliability properties of thin silicon oxinitride dielectrics formed by low pressure rapid thermal chemical vapor deposition Solid-State Electronics. 41: 1051-1055. DOI: 10.1016/S0038-1101(97)00019-1  0.438
1996 Morfouli P, Ghibaudo G, Ouisse T, Vogel E, Hill W, Misra V, McLarty P, Wortman JJ. Low-frequency noise characterization of n- and p-MOSFET's with ultrathin oxynitride gate films Ieee Electron Device Letters. 17: 395-397. DOI: 10.1109/55.511586  0.425
1996 Vogel EM, Hill WL, Misra V, McLarty PK, Wortman JJ, Hauser JR, Morfouli P, Ghibaudo G, Ouisse T. Mobility behavior of n-channel and p-channel MOSFET's with oxynitride gate dielectrics formed by low-pressure rapid thermal chemical vapor deposition Ieee Transactions On Electron Devices. 43: 753-758. DOI: 10.1109/16.491252  0.396
1996 Misra V, Henson WK, Vogel EM, Hames GA, McLarty PK, Hauser JR, Wortman JJ. Electrical properties of composite gate oxides formed by rapid thermal processing Ieee Transactions On Electron Devices. 43: 636-646. DOI: 10.1109/16.485547  0.467
1996 Hill W, Vogel E, Misra V, McLarty P, Wortman J. Low-pressure rapid thermal chemical vapor deposition of oxynitride gate dielectrics for n-channel and p-channel MOSFETs Ieee Transactions On Electron Devices. 43: 15-22. DOI: 10.1109/16.477588  0.39
1995 Sridevan S, Misra V, McLarty PK, Baliga BJ, Wortman JJ. Rapid Thermal Chemical Vapor Deposited Oxides on N-Type 6H-Silicon Carbide Ieee Electron Device Letters. 16: 524-526. DOI: 10.1109/55.468288  0.429
1995 McLarty PK, Misra V, Hill W, Wortman JJ, Hauser JR, Morfouli P, Ouisse T. On the mobility of n-channel metal-oxide-semiconductor transistors prepared by low-pressure rapid thermal chemical vapor deposition Applied Physics Letters. 73. DOI: 10.1063/1.114149  0.433
1995 McLarty PK, Cristoloveanu S, Faynot O, Misra V, Hauser JR, Wortman JJ. A simple parameter extraction method for ultra-thin oxide MOSFETs Solid State Electronics. 38: 1175-1177. DOI: 10.1016/0038-1101(94)00248-E  0.319
1995 Lucovsky G, Misra V, Hattangady S, Yasuda T, Wortman J. Deposition of ultra-thin oxide dielectrics for MOSFETs by a combination of low-temperature plasma-assisted oxidation, and intermediate and high-temperature rapid thermal processing Journal of Non-Crystalline Solids. 187: 60-65. DOI: 10.1016/0022-3093(95)00112-3  0.427
1994 Misra V, Xu X, Wortman J. Electrical Properties of Stacked RTO/RTCVD Oxides as Gate Dielectrics Mrs Proceedings. 342. DOI: 10.1557/Proc-342-187  0.459
1994 Lucovsky G, Ma Y, Hattangady SV, Lee DR, Lu Z, Misra V, Wortman JJ, Jing Z, Whitten JL. Integration of Plasma-Assisted and Rapid Thermal Processing for Low-Thermal Budget Preparation of Ultra-Thin Dielectrics for Stacked-Gate Device Structures Japanese Journal of Applied Physics. 33: 7061-7070. DOI: 10.1143/Jjap.33.7061  0.444
1994 Misra V, Hattangady S, Xu XL, Watkins MJ, Hornung B, Lucovsky G, Wortman JJ, Emmerichs U, Meyer C, Leo K, Kurz H. Integrated processing of stacked-gate heterostructures: plasma-assisted low temperature processing combined with rapid thermal high-temperature processing Microelectronic Engineering. 25: 209-214. DOI: 10.1016/0167-9317(94)90017-5  0.489
1994 Lucovsky G, Yasuda T, Ma Y, Hattangady S, Misra V, Xu X, Hornung B, Wortman J. Low-temperature plasma-assisted oxidation of Si: a new approach for creation of device-quality SiSiO2 interfaces with deposited dielectrics for applications in Si MOSFET technologies Journal of Non-Crystalline Solids. 179: 354-366. DOI: 10.1016/0022-3093(94)90716-1  0.491
1993 Kuehn R, Xu X, Holcombe D, Misra V, Wortman J, Hauser J, Wang Q, Maher D. Gate Quality Oxides Prepared by Rapid Thermal Chemical Vapor Deposition Mrs Proceedings. 334. DOI: 10.1557/Proc-334-531  0.458
1993 Lucovsky G, Yasuda T, Ma Y, Hattangady S, Xu X, Misra V, Hornung B, Wortman J. Control of Si-SiO2 Interface Properties in MOS Devices Prepared by Plasma-Assisted and Rapid Thermal Processes Mrs Proceedings. 318. DOI: 10.1557/Proc-318-81  0.511
1993 Xu X, Misra V, Harris GS, Spanos L, Öztiirk MC, Wortman JJ, Maher DM, Irene EA. Characterization of Oxygen-Doped and Non-Oxygen-Doped Polysilicon Films Prepared by Rapid Thermal Chemical Vapor Deposition Mrs Proceedings. 303. DOI: 10.1557/Proc-303-49  0.337
1993 Hattiangady S, Xu X, Watkins M, Hornung B, Misra V, Lucovsky G, Wortman J. A Dual-Function UHV-Compatible Chamber for i) Low-Temperature Plasma-Assisted Oxidation, and ii) High-Temperature Rapid Thermal Processing of Si-Based Dielectric Gate Heterostructures Mrs Proceedings. 300. DOI: 10.1557/Proc-300-581  0.512
1993 Johnson FS, Misra V, Wortman JJ, Martin LR, Harris GA, Maher DM. Selective Removal of Silicon-Germanium: Chemical and Reactive Ion Etching Mrs Proceedings. 298. DOI: 10.1557/Proc-298-157  0.386
1993 McLarty PK, Hill WL, Xu X, Misra V, Wortman JJ, Harris GS. Thin oxynitride film metal‐oxide‐semiconductor transistors prepared by low‐pressure rapid thermal chemical vapor deposition Applied Physics Letters. 63: 3619-3621. DOI: 10.1063/1.110067  0.415
1993 Simon CG, Batchelor D, Griffis DP, Hunter JL, Misra V, Ricks DA, Wortman JJ. Contaminant interferences with SIMS analyses of microparticle impactor residues on LDEF surfaces Advances in Space Research. 13: 115-118. DOI: 10.1016/0273-1177(93)90576-W  0.344
1993 Xu XL, Misra V, Öztürk MC, Wortman JJ, Harris GS, Maher DM, Spanos L, Irene EA. Effects of oxygen doping on properties of microcrystalline silicon film grown using rapid thermal chemical vapor deposition Journal of Electronic Materials. 22: 1345-1351. DOI: 10.1007/Bf02817698  0.451
Show low-probability matches.