Babak Falsafi - Publications

Affiliations: 
Purdue University, West Lafayette, IN, United States 
Area:
Electronics and Electrical Engineering, Computer Science

63 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2019 Novakovic S, Daglis A, Ustiugov D, Bugnion E, Falsafi B, Grot B. Mitigating Load Imbalance in Distributed Data Serving with Rack-Scale Memory Pooling Acm Transactions On Computer Systems. 36: 1-37. DOI: 10.1145/3309986  0.389
2018 Drumond M, Daglis A, Mirzadeh N, Ustiugov D, Picorel J, Falsafi B, Grot B, Pnevmatikatos D. Algorithm/Architecture Co-Design for Near-Memory Processing Operating Systems Review. 52: 109-122. DOI: 10.1145/3273982.3273992  0.435
2018 Ustiugov D, Daglis A, Picorel J, Sutherland M, Bugnion E, Falsafi B, Pnevmatikatos D. Design guidelines for high-performance SCM hierarchies Arxiv: Hardware Architecture. 3-16. DOI: 10.1145/3240302.3240310  0.435
2017 Volos S, Jevdjic D, Falsafi B, Grot B. Fat Caches for Scale-Out Servers Ieee Micro. 37: 90-103. DOI: 10.1109/Mm.2017.32  0.456
2017 Falsafi B, Dally B, Singh D, Chiou D, Yi JJ, Sendag R. FPGAs versus GPUs in Data centers Ieee Micro. 37: 60-72. DOI: 10.1109/Mm.2017.19  0.307
2016 Falsafi B, Stan M, Skadron K, Jayasena N, Chen Y, Tao J, Nair R, Moreno J, Muralimanohar N, Sankaralingam K, Estan C. Near-Memory Data Services Ieee Micro. 36: 6-7. DOI: 10.1109/Mm.2016.9  0.391
2016 Kocberber O, Falsafi B, Grot B. Asynchronous memory access chaining Proceedings of the Vldb Endowment. 9: 252-263.  0.351
2015 Daglis A, Novakovi S, Bugnion E, Falsafi B, Grot B. Manycore network interfaces for in-memory rack-scale computing Proceedings - International Symposium On Computer Architecture. 13: 567-579. DOI: 10.1145/2749469.2750415  0.378
2015 Jevdjic D, Loh GH, Kaynak C, Falsafi B. Unison cache: A scalable and effective die-stacked DRAM cache Proceedings of the Annual International Symposium On Microarchitecture, Micro. 2015: 25-37. DOI: 10.1109/MICRO.2014.51  0.343
2015 Volos S, Picorel J, Falsafi B, Grot B. BuMP: Bulk Memory Access Prediction and Streaming Proceedings of the Annual International Symposium On Microarchitecture, Micro. 2015: 545-557. DOI: 10.1109/MICRO.2014.44  0.352
2014 Falsafi B, Wenisch TF. A primer on hardware prefetching Synthesis Lectures On Computer Architecture. 28: 1-69. DOI: 10.2200/S00581ED1V01Y201405CAC028  0.344
2014 Novaković S, Daglis A, Bugnion E, Falsafi B, Grot B. Scale-Out NUMA International Conference On Architectural Support For Programming Languages and Operating Systems - Asplos. 3-17. DOI: 10.1145/2541940.2541965  0.374
2014 Ferdman M, Adileh A, Kocberber O, Volos S, Alisafaee M, Jevdjic D, Kaynak C, Popescu AD, Ailamaki A, Falsafi B. A case for specialized processors for scale-out workloads Ieee Micro. 34: 31-42. DOI: 10.1109/Mm.2014.41  0.391
2013 Kocberber O, Grot B, Picorel J, Falsafi B, Lim K, Ranganathan P. Meet the walkers: Accelerating index traversals for in-memory databases Micro 2013 - Proceedings of the 46th Annual Ieee/Acm International Symposium On Microarchitecture. 468-479. DOI: 10.1145/2540708.2540748  0.377
2013 Kaynak C, Grot B, Falsafi B. SHIFT: Shared history instruction fetch for lean-core server processors Micro 2013 - Proceedings of the 46th Annual Ieee/Acm International Symposium On Microarchitecture. 272-283. DOI: 10.1145/2540708.2540732  0.313
2013 Jevdjic D, Volos S, Falsafi B. Die-stacked DRAM caches for servers hit ratio, latency, or bandwidth? Have it all with footprint cache Proceedings - International Symposium On Computer Architecture. 404-415. DOI: 10.1145/2485922.2485957  0.351
2013 Kim J, Yang H, McCartney MP, Bhargava M, Mai K, Falsafi B. Building fast, dense, low-power caches using erasure-based inline multi-bit ECC Proceedings of Ieee Pacific Rim International Symposium On Dependable Computing, Prdc. 98-107. DOI: 10.1109/PRDC.2013.19  0.332
2013 Falsafi B, Loh GH. Top picks from the 2012 computer architecture conferences Ieee Micro. 33: 4-7. DOI: 10.1109/Mm.2013.65  0.352
2012 Ferdman M, Adileh A, Kocberber O, Volos S, Alisafaee M, Jevdjic D, Kaynak C, Popescu AD, Ailamaki A, Falsafi B. Quantifying the mismatch between emerging scale-out applications and modern processors Acm Transactions On Computer Systems. 30. DOI: 10.1145/2382553.2382557  0.433
2012 Ferdman M, Adileh A, Kocberber O, Volos S, Alisafaee M, Jevdjic D, Kaynak C, Popescu AD, Ailamaki A, Falsafi B. Clearing the clouds: A study of emerging scale-out workloads on modern hardware International Conference On Architectural Support For Programming Languages and Operating Systems - Asplos. 37-47. DOI: 10.1145/2150976.2150982  0.365
2012 Volos S, Seiculescu C, Grot B, Pour NK, Falsafi B, De Micheli G. CCNoC: Specializing on-chip interconnects for energy efficiency in cache-coherent servers Proceedings of the 2012 6th Ieee/Acm International Symposium On Networks-On-Chip, Nocs 2012. 67-74. DOI: 10.1109/NOCS.2012.15  0.305
2012 Grot B, Hardy D, Lotfi-Kamran P, Falsafi B, Nicopoulos C, Sazeides Y. Optimizing data-center tco with scale-out processors Ieee Micro. 32: 52-63. DOI: 10.1109/Mm.2012.71  0.426
2012 Lotfi-Kamran P, Grot B, Ferdman M, Volos S, Kocberber O, Picorel J, Adileh A, Jevdjic D, Idgunji S, Ozer E, Falsafi B. Scale-out processors Proceedings - International Symposium On Computer Architecture. 500-511. DOI: 10.1109/ISCA.2012.6237043  0.324
2011 Hardavellas N, Ferdman M, Falsafi B, Ailamaki A. Toward dark silicon in servers Ieee Micro. 31: 6-15. DOI: 10.1109/Mm.2011.77  0.33
2010 Falsafi B. Session details: Acceleration architecture Acm Sigarch Computer Architecture News. 38. DOI: 10.1145/3264045  0.365
2010 Khan SM, Jiménez DA, Burger D, Falsafi B. Using dead blocks as a virtual victim cache Parallel Architectures and Compilation Techniques - Conference Proceedings, Pact. 489-500. DOI: 10.1145/1854273.1854333  0.35
2010 Lotfi-Kamran P, Ferdman M, Crisan D, Falsafi B. TurboTag: Lookup filtering to reduce coherence directory power Proceedings of the International Symposium On Low Power Electronics and Design. 377-382. DOI: 10.1145/1840845.1840929  0.31
2010 Vlachos E, Goodstein ML, Kozuch MA, Chen S, Falsafi B, Gibbons PB, Mowry TC. ParaLog: Enabling and accelerating online parallel monitoring of multithreaded applications International Conference On Architectural Support For Programming Languages and Operating Systems - Asplos. 271-283. DOI: 10.1145/1736020.1736051  0.342
2010 Hardavellas N, Ferdman M, Falsafi B, Ailamaki A. Near-optimal cache block placement with reactive nonuniform cache architectures Ieee Micro. 30: 20-28. DOI: 10.1109/Mm.2010.22  0.405
2010 Wenisch TF, Ferdman M, Ailamaki A, Falsafi B, Moshovos A. Making address-correlated prefetching practical Ieee Micro. 30: 50-59. DOI: 10.1109/Mm.2010.21  0.391
2009 Hardavellas N, Ferdman M, Falsafi B, Ailamaki A. Reactive NUCA: Near-optimal block placement and replication in distributed caches Proceedings - International Symposium On Computer Architecture. 184-195. DOI: 10.1145/1555754.1555779  0.355
2009 Chung ES, Papamichael MK, Nurvitadhi E, Hoe JC, Mai K, Falsafi B. PROTOFLEX: Towards scalable, full-system multiprocessor simulations using FPGAs Acm Transactions On Reconfigurable Technology and Systems. 2. DOI: 10.1145/1534916.1534925  0.404
2009 Chen S, Kozuch M, Gibbons PB, Ryan M, Strigkos T, Mowry TC, Ruwase O, Vlachos E, Falsafi B, Ramachandran V. Flexible hardware acceleration for instruction-grain lifeguards Ieee Micro. 29: 62-72. DOI: 10.1109/Mm.2009.6  0.323
2008 Burcea I, Somogyi S, Moshovos A, Falsafi B. Predictor virtualization Acm Sigplan Notices. 43: 157-167. DOI: 10.1145/1346281.1346301  0.362
2008 Chung ES, Nurvitadhi E, Hoe JC, Falsafi B, Mai K. A complexity-effective architecture for accelerating full-system multiprocessor simulations using FPGAs Acm/Sigda International Symposium On Field Programmable Gate Arrays - Fpga. 77-86. DOI: 10.1145/1344671.1344684  0.301
2008 Wenisch TF, Ferdman M, Ailamaki A, Falsafi B, Moshovos A. Temporal streams in commercial server applications 2008 Ieee International Symposium On Workload Characterization, Iiswc'08. 99-108. DOI: 10.1109/IISWC.2008.4636095  0.333
2007 Wenisch TF, Ailamaki A, Falsafi B, Moshovos A. Mechanisms for store-wait-free multiprocessors Proceedings - International Symposium On Computer Architecture. 266-277. DOI: 10.1145/1250662.1250696  0.318
2007 Chen S, Gibbons PB, Kozuch M, Liaskovitis V, Ailamaki A, Blelloch GE, Falsafi B, Fix L, Hardavellas N, Mowry TC, Wilkerson C. Scheduling threads for constructive cache sharing on CMPs Annual Acm Symposium On Parallelism in Algorithms and Architectures. 105-115. DOI: 10.1145/1248377.1248396  0.305
2007 Kim J, Smolens JC, Falsafi B, Hoe JC. PAI: A lightweight mechanism for single-node memory recovery in DSM servers Proceedings - 13th Pacific Rim International Symposium On Dependable Computing, Prdc 2007. 298-305. DOI: 10.1109/PRDC.2007.53  0.335
2007 Hardavellas N, Pandis I, Johnson R, Mancheril NG, Ailamaki A, Falsafi B. Database servers on chip multiprocessors: Limitations and opportunities Cidr 2007 - 3rd Biennial Conference On Innovative Data Systems Research. 79-87.  0.312
2006 Kim SW, Ooi CL, Eigenmann R, Falsafi B, Vijaykumar TN. Exploiting reference idempotency to reduce speculative storage overflow Acm Transactions On Programming Languages and Systems. 28: 942-965. DOI: 10.1145/1152649.1152653  0.449
2006 Wunderlich RE, Wenisch TF, Falsafi B, Hoe JC. Statistical sampling of microarchitecture simulation Acm Transactions On Modeling and Computer Simulation. 16: 197-224. DOI: 10.1145/1147224.1147225  0.35
2006 Cantin JF, Smith JE, Lipasti MH, Moshovos A, Falsafi B. Coarse-grain coherence tracking: RegionScout and region coherence arrays Ieee Micro. 26: 70-79. DOI: 10.1109/Mm.2006.8  0.34
2006 Wenisch TF, Wunderlich RE, Ferdman M, Ailamaki A, Falsafi B, Hoe JC. SIMFLEX: Statistical sampling of computer system simulation Ieee Micro. 26: 18-30. DOI: 10.1109/Mm.2006.79  0.312
2006 Liaskovitis V, Chen S, Gibbons PB, Ailamaki A, Blelloch GE, Falsafi B, Fix L, Hardavellas N, Kozuch M, Mowry TC, Wilkerson C. Brief announcement: Parallel depth first vs. work stealing schedulers on CMP architectures Annual Acm Symposium On Parallelism in Algorithms and Architectures. 2006: 330.  0.312
2005 Gold B, Ailamaki A, Huston L, Falsafi B. Accelerating database operators using a network processor 1st International Workshop On Data Management On New Hardware, Damon 2005, Co-Located With Acm Sigmod/Pods 2005. DOI: 10.1145/1114252.1114260  0.376
2005 Moshovos A, Falsafi B, Najm FN, Azizi N. A case for asymmetric-cell cache memories Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 13: 877-881. DOI: 10.1109/Tvlsi.2005.850127  0.438
2005 Wenisch TF, Somogyi S, Hardavellas N, Kim J, Gniady C, Ailamaki A, Falsafi B. Store-ordered streaming of shared memory Parallel Architectures and Compilation Techniques - Conference Proceedings, Pact. 2005: 75-84. DOI: 10.1109/PACT.2005.37  0.328
2005 Gold BT, Kim J, Smolens JC, Chung ES, Liaskovitis V, Nurvitadhi E, Falsafi B, Hoe JC, Nowatzyk AG. Truss: A reliable, scalable server architecture Ieee Micro. 25: 51-59. DOI: 10.1109/Mm.2005.122  0.44
2005 Wenisch TF, Somogyi S, Hardavellas N, Kim J, Ailamaki A, Falsafi B. Temporal streaming of shared memory Proceedings - International Symposium On Computer Architecture. 222-233. DOI: 10.1109/ISCA.2005.50  0.341
2005 Falsafi B, Wood DA. Evaluating scheduling policies for fine-grain communication protocols on a cluster of SMPs Journal of Parallel and Distributed Computing. 65: 464-478. DOI: 10.1016/J.Jpdc.2004.11.011  0.356
2004 Smolens JC, Kim J, Hoe JC, Falsafi B. Efficient resource sharing in concurrent error detecting superscalar microarchitectures Proceedings of the Annual International Symposium On Microarchitecture, Micro. 257-268.  0.302
2003 Gniady C, Falsafi B. Speculative sequential consistency with little custom storage Journal of Instruction-Level Parallelism. 5. DOI: 10.1109/PACT.2002.1106016  0.318
2003 Yang SH, Falsafi B. Near-optimal precharging in high-performance nanoscale CMOS caches Proceedings of the Annual International Symposium On Microarchitecture, Micro. 2003: 67-78. DOI: 10.1109/MICRO.2003.1253184  0.324
2003 Park I, Falsafi B, Vijaykumar TN. Implicitly-multithreaded processors Conference Proceedings - Annual International Symposium On Computer Architecture, Isca. 39-50.  0.329
2002 Lai AC, Falsafi B. Optimizing traffic in DSM clusters: Fine-grain memory caching versus page migration/replication Theory of Computing Systems. 35: 269-287. DOI: 10.1007/S00224-002-1054-6  0.513
2001 Powell M, Yang SH, Falsafi B, Roy K, Vijaykumar TN. Reducing leakage in a high-performance deep-submicron instruction cache Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 9: 77-89. DOI: 10.1109/92.920821  0.387
2001 Seon Wook Kim, Ooi CL, Eigenmann R, Falsafi B, Vijaykumar TN. Reference idempotency analysis: A framework for optimizing speculative execution Proceedings of the Acm Sigplan Symposium On Principles and Practice of Parallel Programming, Ppopp. 2-11.  0.371
2001 Kim SW, Ooi CL, Eigenmann R, Falsafi B, Vijaykumar TN. Reference idempotency analysis: A framework for optimizing speculative execution Sigplan Notices (Acm Special Interest Group On Programming Languages). 36: 2-11.  0.371
2001 Ooi CL, Seon Wook Kim, Park I, Eigenmann R, Falsafi B, Vijaykumar TN. Multiplex: Unifying conventional and speculative thread-level parallelism on a chip multiprocessor Proceedings of the International Conference On Supercomputing. 368-380.  0.328
2000 Mukherjee SS, Reinhardt SK, Falsafi B, Litzkow M, Hill MD, Wood DA, Huss-Lederman S, Larus JR. Wisconsin Wind Tunnel II: a fast, portable parallel architecture simulator Ieee Concurrency. 8: 12-20. DOI: 10.1109/4434.895100  0.337
1997 Falsafi B, Wood DA. Modeling cost/performance of a parallel computer simulator Acm Transactions On Modeling and Computer Simulation. 7: 104-130. DOI: 10.1145/244804.244808  0.396
1994 Schoinas I, Falsafi B, Lebeck AR, Reinhardt SK, Larus JR, Wood DA. Fine-grain Access Control for Distributed Shared Memory Acm Sigplan Notices. 29: 297-306. DOI: 10.1145/195470.195575  0.361
Show low-probability matches.