cached image

C. Grant Willson, Ph.D. - Publications

Affiliations: 
1993- Chemical Engineering University of Texas at Austin, Austin, Texas, U.S.A. 
Area:
design and synthesis of functional organic materials
Website:
https://www.cm.utexas.edu/grant_willson

166 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Kim JY, Liu P, Maher MJ, Callan DH, Bates CM, Carlson M, Asano Y, Blachut G, Rettner C, Cheng JY, Sunday DF, Kline RJ, Sanders DP, Lynd NA, Ellison CJ, ... Willson CG, et al. Spatial Control of Self-Assembled Block Copolymer Domain Orientation and Alignment on Photo-Patterned Surfaces. Acs Applied Materials & Interfaces. PMID 32345022 DOI: 10.1021/Acsami.0C02997  0.74
2020 Koh JH, Zhu Q, Asano Y, Maher MJ, Ha H, Kim S, Cater HL, Mapesa EU, Sangoro JR, Ellison CJ, Lynd NA, Willson CG. Unusual Thermal Properties of Certain Poly(3,5-disubstituted styrene)s Macromolecules. 53: 5504-5511. DOI: 10.1021/Acs.Macromol.0C00163  0.644
2019 Doise J, Koh JH, Kim JY, Zhu Q, Kinoshita N, Suh HS, Rincondelgadillo P, Vandenberghe G, Willson CG, Ellison CJ. Strategies for Increasing the Rate of Defect Annihilation in the Directed Self-Assembly of High-Chi Block Copolymers. Acs Applied Materials & Interfaces. PMID 31752485 DOI: 10.1021/Acsami.9B17858  0.554
2019 Joo W, Wang W, Mesch R, Matsuzawa K, Liu D, Willson CG. Synthesis of Unzipping Polyester and a Study of its Photochemistry. Journal of the American Chemical Society. PMID 31460760 DOI: 10.1021/Jacs.9B06285  0.367
2018 Sunday DF, Maher MJ, Hannon AF, Liman CD, Tein S, Blachut G, Asano Y, Ellison CJ, Willson CG, Kline RJ. Characterizing the Interface Scaling of High Block Copolymers near the Order-Disorder Transition. Macromolecules. 51: 173-180. PMID 29706666 DOI: 10.1021/Acs.Macromol.7B01982  0.343
2017 Rebello N, Sethuraman V, Blachut G, Ellison CJ, Willson CG, Ganesan V. Influence of topographically patterned angled guidelines on directed self-assembly of block copolymers. Physical Review. E. 96: 052501. PMID 29347737 DOI: 10.1103/Physreve.96.052501  0.316
2017 Lane AP, Yang X, Maher MJ, Blachut G, Asano Y, Someya Y, Mallavarapu A, Sirard SM, Ellison CJ, Willson CG. Directed Self-Assembly and Pattern Transfer of Five Nanometer Block Copolymer Lamellae. Acs Nano. PMID 28700207 DOI: 10.1021/Acsnano.7B02698  0.353
2016 Maher MJ, Mori K, Sirard SM, Dinhobl AM, Bates CM, Gurer E, Blachut G, Lane AP, Durand WJ, Carlson MC, Strahan JR, Ellison CJ, Willson CG. Pattern Transfer of Sub-10 nm Features via Tin-Containing Block Copolymers. Acs Macro Letters. 5: 391-395. PMID 35614710 DOI: 10.1021/acsmacrolett.6b00005  0.639
2016 Maher MJ, Self JL, Stasiak P, Blachut G, Ellison CJ, Matsen MW, Bates CM, Willson CG. Structure, Stability, and Reorganization of 0.5 L0 Topography in Block Copolymer Thin Films. Acs Nano. PMID 27787994 DOI: 10.1021/Acsnano.6B05390  0.605
2016 Someya Y, Asano Y, Maher MJ, Blachut G, Lane AP, Sirard S, Ellison CJ, Willson CG. Synthesis and Characterization of Si-containing Block Co-polymers with Resolution beyond 10 nm Journal of Photopolymer Science and Technology. 29: 701-704. DOI: 10.2494/Photopolymer.29.701  0.396
2016 Sirard S, Azarnouche L, Gurer E, Durand W, Maher M, Mori K, Blachut G, Janes D, Asano Y, Someya Y, Hymes D, Graves D, Ellison CJ, Willson CG. Interactions between plasma and block copolymers used in directed self-assembly patterning Proceedings of Spie. 9782. DOI: 10.1117/12.2220305  0.425
2016 Tsai H, Miyazoe H, Vora A, Magbitang T, Arellano N, Liu CC, Maher MJ, Durand WJ, Dawes SJ, Bucchignano JJ, Gignac L, Sanders DP, Joseph EA, Colburn ME, Willson CG, et al. High chi block copolymer DSA to improve pattern quality for FinFET device fabrication Proceedings of Spie - the International Society For Optical Engineering. 9779. DOI: 10.1117/12.2219544  0.666
2016 Lane AP, Maher MJ, Willson CG, Ellison CJ. Photopatterning of Block Copolymer Thin Films Acs Macro Letters. 5: 460-465. DOI: 10.1021/Acsmacrolett.6B00075  0.376
2016 Maher MJ, Mori K, Sirard SM, Dinhobl AM, Bates CM, Gurer E, Blachut G, Lane AP, Durand WJ, Carlson MC, Strahan JR, Ellison CJ, Willson CG. Pattern Transfer of Sub-10 nm Features via Tin-Containing Block Copolymers Acs Macro Letters. 5: 391-395. DOI: 10.1021/Acsmacrolett.6B00005  0.673
2016 Zhou SX, Janes DW, Kim CB, Willson CG, Ellison CJ. Designing Intrablock Attractions To Increase the χ Parameter of a Symmetric Diblock Copolymer Macromolecules. 49: 8332-8340. DOI: 10.1021/Acs.Macromol.6B01382  0.36
2016 Blachut G, Sirard SM, Maher MJ, Asano Y, Someya Y, Lane AP, Durand WJ, Bates CM, Dinhobl AM, Gronheid R, Hymes D, Ellison CJ, Willson CG. A Hybrid Chemo-/Grapho-Epitaxial Alignment Strategy for Defect Reduction in Sub-10 nm Directed Self-Assembly of Silicon-Containing Block Copolymers Chemistry of Materials. 28: 8951-8961. DOI: 10.1021/Acs.Chemmater.6B03633  0.647
2015 Pandav G, Durand WJ, Ellison CJ, Willson CG, Ganesan V. Directed self assembly of block copolymers using chemical patterns with sidewall guiding lines, backfilled with random copolymer brushes. Soft Matter. PMID 26411259 DOI: 10.1039/C5Sm01951F  0.367
2015 Cushen J, Wan L, Blachut G, Maher MJ, Albrecht TR, Ellison CJ, Willson CG, Ruiz R. Double-Patterned Sidewall Directed Self-Assembly and Pattern Transfer of Sub-10 nm PTMSS-b-PMOST. Acs Applied Materials & Interfaces. 7: 13476-83. PMID 26004013 DOI: 10.1021/Acsami.5B02481  0.359
2015 Maher MJ, Rettner CT, Bates CM, Blachut G, Carlson MC, Durand WJ, Ellison CJ, Sanders DP, Cheng JY, Willson CG. Directed self-assembly of silicon-containing block copolymer thin films. Acs Applied Materials & Interfaces. 7: 3323-8. PMID 25594107 DOI: 10.1021/Am508197K  0.634
2015 Maher MJ, Bates CM, Durand WJ, Blachut G, Janes DW, Cheng JY, Sanders DP, Willson CG, Ellison CJ. Interfacial layers with photoswitching surface energy for block copolymer alignment and directed self-assembly Journal of Photopolymer Science and Technology. 28: 611-615. DOI: 10.2494/Photopolymer.28.611  0.589
2015 Hayes CO, Mueller BK, Liu P, Bell WK, Schwartz JM, Thedford RP, Kohl PA, Willson CG. Directly patternable benzocyclobutene and methacrylate silsesquioxanes for microelectronics packaging Nippon Seramikkusu Kyokai Gakujutsu Ronbunshi/Journal of the Ceramic Society of Japan. 123: 800-804. DOI: 10.2109/Jcersj2.123.800  0.303
2015 Mueller BK, Schwartz JM, Sutlief AE, Bell WK, Hayes CO, Elce E, Willson CG, Kohl PA. Chemically amplified, positive tone, polynorbornene dielectric for microelectronics packaging Ecs Journal of Solid State Science and Technology. 4: N3001-N3007. DOI: 10.1149/2.0011501Jss  0.388
2015 Dick AR, Bell WK, Luke B, Maines E, Mueller B, Kohl PA, Rawlings B, Willson CG. Directly patternable dielectric based on fluorinated polyimide Proceedings of Spie - the International Society For Optical Engineering. 9425. DOI: 10.1117/12.2085793  0.375
2015 Matsuzawa K, Mesch R, Olah M, Wang W, Phillips ST, Willson CG. Aromatizing unzipping polyester for EUV photoresist Proceedings of Spie - the International Society For Optical Engineering. 9425. DOI: 10.1117/12.2085780  0.412
2015 Durand WJ, Blachut G, Maher MJ, Sirard S, Tein S, Carlson MC, Asano Y, Zhou SX, Lane AP, Bates CM, Ellison CJ, Willson CG. Design of high-χ block copolymers for lithography Journal of Polymer Science, Part a: Polymer Chemistry. 53: 344-352. DOI: 10.1002/Pola.27370  0.672
2014 Maher MJ, Bates CM, Blachut G, Carlson MC, Self JL, Janes DW, Durand WJ, Lane AP, Ellison CJ, Willson CG. Photopatternable Interfaces for Block Copolymer Lithography. Acs Macro Letters. 3: 824-828. PMID 35590708 DOI: 10.1021/mz500370r  0.612
2014 Ogawa T, Jacobsson BM, Deschner R, Bell W, Lin MW, Hagiwara Y, Takei S, Hanabata M, Willson CG. Planarizing material for reverse-tone step and flash imprint lithography Journal of Micro/Nanolithography, Mems, and Moems. 13. DOI: 10.1117/1.Jmm.13.3.031302  0.325
2014 Cushen JD, Shanmuganathan K, Janes DW, Willson CG, Ellison CJ. Synthesis of amphiphilic naturally-derived oligosaccharide-block-wax oligomers and their self-assembly Acs Macro Letters. 3: 839-844. DOI: 10.1021/Mz500389G  0.32
2014 Maher MJ, Bates CM, Blachut G, Carlson MC, Self JL, Janes DW, Durand WJ, Lane AP, Ellison CJ, Willson CG. Photopatternable interfaces for block copolymer lithography Acs Macro Letters. 3: 824-828. DOI: 10.1021/Mz500370R  0.646
2014 Bates CM, Maher MJ, Janes DW, Ellison CJ, Willson CG. Block copolymer lithography Macromolecules. 47: 2-12. DOI: 10.1021/Ma401762N  0.642
2014 Maher MJ, Bates CM, Blachut G, Sirard S, Self JL, Carlson MC, Dean LM, Cushen JD, Durand WJ, Hayes CO, Ellison CJ, Willson CG. Interfacial design for block copolymer thin films Chemistry of Materials. 26: 1471-1479. DOI: 10.1021/Cm403813Q  0.607
2014 Cushen JD, Wan L, Pandav G, Mitra I, Stein GE, Ganesan V, Ruiz R, Willson CG, Ellison CJ. Ordering poly(trimethylsilyl styrene-block- D,L -lactide) block copolymers in thin films by solvent annealing using a mixture of domain-selective solvents Journal of Polymer Science, Part B: Polymer Physics. 52: 36-45. DOI: 10.1002/Polb.23408  0.312
2014 Bell WK, Rawlings BM, Long BK, Webb RC, Keitz BK, Häußling L, Willson CG. Poling and crosslinking processes in NLO polymers Journal of Polymer Science, Part a: Polymer Chemistry. 52: 2769-2775. DOI: 10.1002/Pola.27298  0.392
2013 Kim S, Bates CM, Thio A, Cushen JD, Ellison CJ, Willson CG, Bates FS. Consequences of surface neutralization in diblock copolymer thin films. Acs Nano. 7: 9905-19. PMID 24131385 DOI: 10.1021/Nn403616R  0.627
2013 Ellison CJ, Cushen JD, Willson CG. Thin film block copolymer assembly in mixtures of highly selective solvents Journal of Photopolymer Science and Technology. 26: 45-47. DOI: 10.2494/Photopolymer.26.45  0.368
2013 Bates CM, Maher MJ, Thio A, Dean LM, Cushen JD, Durand WJ, Blachut G, Li L, Ellison CJ, Willson CG. Polarity-switching top coats for silicon-containing block copolymer orientation control Journal of Photopolymer Science and Technology. 26: 223-224. DOI: 10.2494/Photopolymer.26.223  0.582
2013 Ogawa T, Hellebusch DJ, Lin MW, Jacobsson BM, Bell W, Willson CG. Reactive fluorinated surfactant for step and flash imprint lithography Journal of Micro/Nanolithography, Mems, and Moems. 12. DOI: 10.1117/1.Jmm.12.3.031114  0.35
2013 Ogawa T, Takei S, Willson CG. Ultraviolet curable branched siloxanes as low-k dielectrics for imprint lithography Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 31: 11601. DOI: 10.1116/1.4770051  0.369
2013 Janes DW, Thode CJ, Willson CG, Nealey PF, Ellison CJ. Light-activated replication of block copolymer fingerprint patterns Macromolecules. 46: 4510-4519. DOI: 10.1021/Ma400065T  0.353
2013 Bates CM, Pantoja MAB, Strahan JR, Dean LM, Mueller BK, Ellison CJ, Nealey PF, Willson CG. Synthesis and thin-film orientation of poly(styrene-block- trimethylsilylisoprene) Journal of Polymer Science, Part a: Polymer Chemistry. 51: 290-297. DOI: 10.1002/Pola.26375  0.661
2012 Bates CM, Seshimo T, Maher MJ, Durand WJ, Cushen JD, Dean LM, Blachut G, Ellison CJ, Willson CG. Polarity-switching top coats enable orientation of sub-10-nm block copolymer domains. Science (New York, N.Y.). 338: 775-9. PMID 23139327 DOI: 10.1126/Science.1226046  0.641
2012 Cushen JD, Otsuka I, Bates CM, Halila S, Fort S, Rochas C, Easley JA, Rausch EL, Thio A, Borsali R, Willson CG, Ellison CJ. Oligosaccharide/silicon-containing block copolymers with 5 nm features for lithographic applications. Acs Nano. 6: 3424-33. PMID 22456229 DOI: 10.1021/Nn300459R  0.664
2012 Jen WK, Rawlings BM, Strahan JR, Hellebusch DJ, Durand WJ, Willson CG. Self-aligned patterning on a flexible substrate using a dual-tone, thermally activated photoresist Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 30: 11603. DOI: 10.1116/1.3669380  0.335
2012 Cushen JD, Bates CM, Rausch EL, Dean LM, Zhou SX, Willson CG, Ellison CJ. Thin film self-assembly of poly(trimethylsilylstyrene-b-d, l-lactide) with sub-10 nm domains Macromolecules. 45: 8722-8728. DOI: 10.1021/Ma301238J  0.64
2011 Bates CM, Strahan JR, Santos LJ, Mueller BK, Bamgbade BO, Lee JA, Katzenstein JM, Ellison CJ, Willson CG. Polymeric cross-linked surface treatments for controlling block copolymer orientation in thin films. Langmuir : the Acs Journal of Surfaces and Colloids. 27: 2000-6. PMID 21214210 DOI: 10.1021/La1042958  0.63
2011 Gu X, Cho Y, Kawakami T, Hagiwara Y, Rawlings B, Mesch R, Ogata T, Kim T, Seshimo T, Wang W, Sundaresan AK, Turro NJ, Gronheid R, Blackwell J, Bristol R, ... Willson CG, et al. Photobase generator enabled pitch division: A progress report Proceedings of Spie - the International Society For Optical Engineering. 7972. DOI: 10.1117/12.879861  0.414
2011 Cho Y, Gu X, Hagiwara Y, Kawakami T, Ogata T, Rawlings B, Li Y, Sundaresan AK, Turro NJ, Bristol R, Blackwell JM, Willson CG. Polymer bound photobase generators and photoacid generators for pitch division lithography Proceedings of Spie - the International Society For Optical Engineering. 7972. DOI: 10.1117/12.879771  0.467
2011 Ogawa T, Hellebusch DJ, Lin MW, Jacobsson BM, Bell W, Willson CG. Reactive fluorinated surfactant for step and flash imprint lithography Proceedings of Spie. 7970. DOI: 10.1117/12.871627  0.357
2011 Takei S, Ogawa T, Willson CG. Study of fluorinated silicon-based resist material and photoreactive underlayer for defect reduction in step and repeat ultraviolet nanoimprint lithography Micro and Nano Letters. 6: 422-424. DOI: 10.1049/Mnl.2011.0213  0.441
2011 Bielawski CW, Willson CG. Polymers for Microelectronics Macromolecular Engineering: Precise Synthesis, Materials Properties, Applications. 4: 2263-2293. DOI: 10.1002/9783527631421.ch54  0.413
2010 Gu X, Bates C, Cho Y, Costner E, Marzuka F, Nagai T, Ogata T, Shi C, Sundaresan AK, Turro NJ, Bristol R, Zimmerman P, Willson CG. A new materials-based pitch division technique Journal of Photopolymer Science and Technology. 22: 773-781. DOI: 10.2494/Photopolymer.22.773  0.796
2010 Takei S, Ogawa T, Deschner R, Jen K, Nihira T, Hanabata M, Willson CG. Silicon-containing spin-on underlayer material for step and flash nanoimprint lithography Japanese Journal of Applied Physics. 49: 0752011-0752015. DOI: 10.1143/Jjap.49.075201  0.402
2010 Takei S, Ogawa T, Deschner R, Jen K, Hanabata M, Willson CG. Development of spin-on hard mask materials under resist in nano imprint lithography Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.853200  0.39
2010 Chauhan S, Somervell M, Carcasi M, Scheer S, Bonnecaze RT, Mack C, Willson CG. Particle generation during photoresist dissolution Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.848424  0.677
2010 Carcasi M, Somervell M, Scheer S, Chauhan S, Strahan J, Willson CG. Extension of 248 nm Monte Carlo, mesoscale models to 193 nm platforms Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.846658  0.684
2010 Ogawa T, Takei S, Jacobsson BM, Deschner R, Bell W, Lin MW, Hagiwara Y, Hanabata M, Willson CG. Planarizing material for reverse-tone step and flash imprint lithography Proceedings of Spie. 7637: 763708. DOI: 10.1117/12.846430  0.328
2010 Gu X, Bates CM, Cho Y, Kawakami T, Nagai T, Ogata T, Sundaresan AK, Turro NJ, Bristol R, Zimmerman P, Willson CG. Photobase generator assisted pitch division Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.846395  0.669
2009 Costner EA, Long BK, Navar C, Jockusch S, Lei X, Zimmerman P, Campion A, Turro NJ, Willson CG. Fundamental optical properties of linear and cyclic alkanes: VUV absorbance and index of refraction. The Journal of Physical Chemistry. A. 113: 9337-47. PMID 19630422 DOI: 10.1021/Jp903435C  0.776
2009 Costner EA, Lin MW, Jen WL, Willson CG. Nanoimprint lithography materials development for semiconductor device fabrication Annual Review of Materials Research. 39: 155-180. DOI: 10.1146/Annurev-Matsci-082908-145336  0.795
2009 Takei S, Yoon S, Ohashi T, Horiguchi Y, Nakajima Y, Lin MW, Willson CG. Correlation between simulation and experiment using UV curable gap fill materials for global planarization International Journal of Nanoscience. 8: 103-106. DOI: 10.1142/S0219581X09005840  0.376
2009 Gronheid R, Fonseca C, Leeson MJ, Adams JR, Strahan JR, Willson CG, Smith BW. EUV resist requirements: Absorbance and acid yield Proceedings of Spie - the International Society For Optical Engineering. 7273. DOI: 10.1117/12.814716  0.358
2009 Chauhan S, Somervell M, Scheer S, Mack C, Bonnecaze RT, Willson CG. Polymer dissolution model: An energy adaptation of the critical ionization theory Proceedings of Spie - the International Society For Optical Engineering. 7273. DOI: 10.1117/12.814344  0.7
2009 Takei S, Lin MW, Yoon S, Ohashi T, Nakajima Y, Willson CG. Development of novel UV cross-linkable materials for enhancing planarity in via applications via the correlation of simulated and experimental analyses Proceedings of Spie - the International Society For Optical Engineering. 7273. DOI: 10.1117/12.813530  0.345
2009 Meiring JE, Lee S, Costner EA, Schmid MJ, Michaelson TB, Willson CG, Grayson SM. Pattern recognition of shape-encoded hydrogel biosensor arrays Optical Engineering. 48. DOI: 10.1117/1.3099722  0.754
2009 Lee S, Jen K, Willson CG, Byers J, Zimmerman P, Turro NJ. Materials modeling and development for use in double-exposure lithography applications Journal of Micro/Nanolithography, Mems, and Moems. 8. DOI: 10.1117/1.3095589  0.335
2009 Chauhan S, Palmieri F, Bonnecaze RT, Willson CG. Feature filling modeling for step and flash imprint lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 27: 1926-1932. DOI: 10.1116/1.3147212  0.698
2009 Chauhan S, Palmieri F, Bonnecaze RT, Willson CG. Pinning at template feature edges for step and flash imprint lithography Journal of Applied Physics. 106. DOI: 10.1063/1.3122599  0.69
2008 Lee S, Byers J, Jen K, Zimmerman P, Rice B, Turro NJ, Willson CG. An analysis of double exposure lithography options Proceedings of Spie - the International Society For Optical Engineering. 6924. DOI: 10.1117/12.773030  0.344
2008 Costner EA, Matsumoto K, Long BK, Taylor JC, Wojtczak W, Willson CG. New high index fluids: exploiting anomalous dispersion for immersion lithography Proceedings of Spie - the International Society For Optical Engineering. 6923. DOI: 10.1117/12.772979  0.783
2008 Chao BH, Palmieri F, Jen WL, McMichael DH, Willson CG, Owens J, Berger R, Sotoodeh K, Wilks B, Pham J, Carpio R, LaBelle E, Wetzel J. Dual damascene BEOL processing using multilevel step and flash imprint lithography Proceedings of Spie - the International Society For Optical Engineering. 6921. DOI: 10.1117/12.772908  0.745
2008 Lin MW, Hellebusch DJ, Wu K, Kim EK, Lu K, Tao L, Liechti KM, Ekerdt JG, Ho PS, Hu W, Willson CG. Interfacial adhesion studies for step and flash imprint lithography Proceedings of Spie - the International Society For Optical Engineering. 6921. DOI: 10.1117/12.772797  0.585
2008 Nishimura I, Heath WH, Matsumoto K, Jen WL, Lee SS, Neikirk C, Shimokawa T, Ito K, Fujiwara K, Willson CG. Non-chemically amplified resists for 193 nm lithography Proceedings of Spie - the International Society For Optical Engineering. 6923. DOI: 10.1117/12.772646  0.773
2008 Lin MW, Hellebusch DJ, Wu K, Kim EK, Lu KH, Liechti KM, Ekerdt JG, Ho PS, Willson CG. Role of surfactants in adhesion reduction for step and flash imprint lithography Journal of Micro/Nanolithography, Mems, and Moems. 7. DOI: 10.1117/1.2968269  0.592
2008 Lin MW, Chao BH, Hao J, Osberg K, Ho PS, Willson CG. Simulation and design of planarizing materials for reverse-tone step and flash imprint lithography Journal of Micro-Nanolithography Mems and Moems. 7: 23008. DOI: 10.1117/1.2896047  0.355
2008 Taylor JC, Costner EA, Goh S, Wojtczak W, Dewulf D, Willson CG. The effect of added salts on the optical properties of water for high index immersion lithography fluids Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 506-513. DOI: 10.1116/1.2839863  0.782
2008 Matsumoto K, Costner E, Nishimura I, Ueda M, Willson CG. High index resists for 193 nm immersion lithography Proceedings of Spie - the International Society For Optical Engineering. 6923. DOI: 10.1021/Ma800295S  0.829
2008 Matsumoto K, Costner EA, Nishimura I, Ueda M, Willson CG. High index resist for 193 nm immersion lithography Macromolecules. 41: 5674-5680. DOI: 10.1021/ma800295s  0.796
2008 Heath WH, Palmieri F, Adams JR, Long BK, Chute J, Holcombe TW, Zieren S, Truitt MJ, White JL, Willson CG. Degradable cross-linkers and strippable imaging materials for step-and-flash imprint lithography Macromolecules. 41: 719-726. DOI: 10.1021/Ma702291K  0.784
2008 O'Connor NA, Berro AJ, Lancaster JR, Xinyu G, Jockusch S, Nagai T, Ogata T, Lee S, Zimmerman P, Willson CG, Turro NJ. Toward the design of a sequential two photon photoacid generator for double exposure photolithography Chemistry of Materials. 20: 7374-7376. DOI: 10.1021/Cm802343U  0.32
2008 Dickey MD, Raines A, Collister E, Bonnecaze RT, Sreenivasan SV, Willson CG. High-aspect ratio polymeric pillar arrays formed via electrohydrodynamic patterning Journal of Materials Science. 43: 117-122. DOI: 10.1007/S10853-007-2086-8  0.775
2008 Ito H, Trinque BC, Kasai P, Willson CG. Penultimate effect in radical copolymerization of 2- trifluoromethylacrylates Journal of Polymer Science, Part a: Polymer Chemistry. 46: 1559-1565. DOI: 10.1002/Pola.22467  0.7
2007 Palmieri F, Adams J, Long B, Heath W, Tsiartas P, Willson CG. Design of reversible cross-linkers for step and flash imprint lithography imprint resists. Acs Nano. 1: 307-12. PMID 19206681 DOI: 10.1021/Nn7001079  0.817
2007 Wu K, Wang X, Kim EK, Willson CG, Ekerdt JG. Experimental and theoretical investigation on surfactant segregation in imprint lithography. Langmuir : the Acs Journal of Surfaces and Colloids. 23: 1166-70. PMID 17241028 DOI: 10.1021/la061736y  0.543
2007 Lee S, Carmichael P, Meiring J, Dickey M, Grayson S, Bonnecaze RT, Willson CG. Modeling of self-assembly dynamics of photolithographically patterned MUFFINS biosensor arrays Materials Research Society Symposium Proceedings. 1002: 59-65. DOI: 10.1557/Proc-1002-N07-08  0.78
2007 Hao J, Lin MW, Palmieri F, Nishimura Y, Chao HL, Steward MD, Collins A, Jen K, Willson CG. Photocurable silicon-based materials for imprint lithography Proceedings of Spie - the International Society For Optical Engineering. 6517. DOI: 10.1117/12.712261  0.731
2007 Long BK, Keitz BK, Willson CG. Materials for step and flash imprint lithography (S-FIL®) Journal of Materials Chemistry. 17: 3575-3580. DOI: 10.1039/B705388F  0.343
2006 Koh K, Liu G, Willson CG. Grafting and patterned grafting of block copolymer nanotubes onto inorganic substrates. Journal of the American Chemical Society. 128: 15921-7. PMID 17147405 DOI: 10.1021/Ja066684D  0.307
2006 Dickey MD, Gupta S, Leach KA, Collister E, Willson CG, Russell TP. Novel 3-D structures in polymer films by coupling external and internal fields. Langmuir : the Acs Journal of Surfaces and Colloids. 22: 4315-8. PMID 16618181 DOI: 10.1021/La052954E  0.799
2006 Schmid MJ, Manthiram K, Grayson SM, Willson JC, Meiring JE, Bell KM, Ellington AD, Willson CG. Feature multiplexing--improving the efficiency of microarray devices. Angewandte Chemie (International Ed. in English). 45: 3338-41. PMID 16607663 DOI: 10.1002/Anie.200502151  0.734
2006 Grayson SM, Long BK, Kusomoto S, Osborn BP, Callahan RP, Chambers CR, Willson CG. Synthesis and characterization of norbornanediol isomers and their fluorinated analogues. The Journal of Organic Chemistry. 71: 341-4. PMID 16388654 DOI: 10.1021/Jo0513156  0.796
2006 Jen W, Palmieri F, Chao B, Lin M, Hao J, Owens J, Sotoodeh K, Cheung R, Willson CG. Multi-level Step and Flash Imprint Lithography for Direct Patterning of Dielectrics Proceedings of Spie. 6517. DOI: 10.1117/12.655604  0.776
2006 Schmid GM, Stewart MD, Wetzel J, Palmieri F, Hao J, Nishimura Y, Jen K, Kim EK, Resnick DJ, Liddle JA, Willson CG. Implementation of an imprint damascene process for interconnect fabrication Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 24: 1283-1291. DOI: 10.1116/1.2197508  0.792
2006 Yan X, Liu G, Hu J, Willson CG. Coaggregation of B-C and D-C diblock copolymers with H-bonding C blocks in block-selective solvents Macromolecules. 39: 1906-1912. DOI: 10.1021/Ma052435I  0.32
2006 Dickey DA, Dickey MD, Stewart MD, Willson CG. An Automated Statistical Process Control Study of Inline Mixing Using Spectrophotometric Detection Journal of Chemical Education. 83: 110-113. DOI: 10.1021/Ed083P110  0.649
2006 Dickey MD, Collister E, Raines A, Tsiartas P, Holcombe T, Sreenivasan SV, Bonnecaze RT, Willson CG. Photocurable pillar arrays formed via electrohydrodynamic instabilities Chemistry of Materials. 18: 2043-2049. DOI: 10.1021/Cm052592W  0.8
2006 Kim EK, Willson CG. Thermal analysis for step and flash imprint lithography during UV curing process Microelectronic Engineering. 83: 213-217. DOI: 10.1016/J.Mee.2005.08.007  0.594
2006 Dickey MD, Willson CG. Kinetic parameters for Step and Flash Imprint Lithography photopolymerization Aiche Journal. 52: 777-784. DOI: 10.1002/Aic.10666  0.546
2005 Leach KA, Gupta S, Dickey MD, Willson CG, Russell TP. Electric field and dewetting induced hierarchical structure formation in polymer/polymer/air trilayers. Chaos (Woodbury, N.Y.). 15: 047506. PMID 16396599 DOI: 10.1063/1.2132248  0.586
2005 Wu K, Bailey TC, Willson CG, Ekerdt JG. Surface hydration and its effect on fluorinated SAM formation on SiO2 surfaces. Langmuir : the Acs Journal of Surfaces and Colloids. 21: 11795-801. PMID 16316116 DOI: 10.1021/La0516330  0.486
2005 Gates BD, Xu Q, Stewart M, Ryan D, Willson CG, Whitesides GM. New approaches to nanofabrication: molding, printing, and other techniques. Chemical Reviews. 105: 1171-96. PMID 15826012 DOI: 10.1021/Cr030076O  0.595
2005 Stewart MD, Willson CG. Imprint Materials for Nanoscale Devices Mrs Bulletin. 30: 947-951. DOI: 10.1557/Mrs2005.248  0.65
2005 Stewart MD, Johnson SC, Sreenivasan SV, Resnick DJ, Willson CG. Nanofabrication with step and flash imprint lithography Journal of Micro-Nanolithography Mems and Moems. 4: 11002. DOI: 10.1117/1.1862650  0.75
2005 Kim EK, Ekerdt JG, Willson CG. Importance of evaporation in the design of materials for step and flash imprint lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 23: 1515-1520. DOI: 10.1116/1.1990162  0.604
2005 Tsiartas PC, Schmid GM, Johnson HF, Stewart MD, Willson CG. Quantifying acid generation efficiency for photoresist applications Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 23: 224-228. DOI: 10.1116/1.1851537  0.724
2005 Taylor JC, LeSuer RJ, Chambers CR, Fan FRF, Bard AJ, Conley WE, Willson CG. Experimental techniques for detection of components extracted from model 193 nm immersion lithography photoresists Chemistry of Materials. 17: 4194-4203. DOI: 10.1021/Cm050294T  0.631
2005 Resnick DJ, Sreenivasan SV, Willson CG. Step & flash imprint lithography Materials Today. 8: 34-42. DOI: 10.1016/S1369-7021(05)00700-5  0.351
2005 Dickey MD, Burns RL, Kim EK, Johnson SC, Stacey NA, Willson CG. Study of the kinetics of step and flash imprint lithography photopolymerization Aiche Journal. 51: 2547-2555. DOI: 10.1002/Aic.10477  0.765
2004 Schmid GM, Stewart MD, Burns SD, Willson CG. Mesoscale Monte Carlo Simulation of Photoresist Processing Journal of the Electrochemical Society. 151: G155-G161. DOI: 10.1149/1.1637359  0.789
2004 Jamieson A, Willson CG, Hsu Y, Brodie AD. Low-voltage electron beam lithography resist processes: Top surface imaging and hydrogen silisesquioxane bilayer Journal of Microlithography, Microfabrication and Microsystems. 3: 442-449. DOI: 10.1117/1.1758268  0.671
2004 Yamada S, Mrozek T, Rager T, Owens J, Rangel J, Willson CG, Byers J. Toward environmentally friendly photolithographic materials: A new class of water-soluble photoresists Macromolecules. 37: 377-384. DOI: 10.1021/Ma034461R  0.568
2004 Willson CG. Advances in Polymer Science, 159. Statistical, Gradient, Block and Graft Copolymers by Controlled/Living Radical Polymerizations By Kelly A. Davis (University of Colorado-Boulder) and Krzysztof Matyjaszewski (Carnegie Mellon University). Springer-Verlag: Berlin, Heidelberg, New York. 2002. xii + 192 pp. $139.00. ISBN 3-540-43244-2. Journal of the American Chemical Society. 126: 980-980. DOI: 10.1021/Ja025347B  0.306
2004 Meiring JE, Schmid MJ, Grayson SM, Rathsack BM, Johnson DM, Kirby R, Kannappan R, Manthiram K, Hsia B, Hogan ZL, Ellington AD, Pishko MV, Willson CG. Hydrogel biosensor array platform indexed by shape Chemistry of Materials. 16: 5574-5580. DOI: 10.1021/Cm049488J  0.782
2004 Tattersall PI, Breslin D, Grayson SM, Heath WH, Lou K, McAdams CL, McKean D, Rathsack BM, Willson CG. Synthesis and Properties of Diazopiperidiones for Use in Nonchemically Amplified Deep UV Photoresists Chemistry of Materials. 16: 1770-1774. DOI: 10.1021/Cm034638W  0.781
2004 Leeson MJ, Yueh W, Tattersall PI, Pawloski A, Grayson SM, Willson CG. Synthesis and Reactivity of 3-Diazo-4-oxocoumarins for Photolithographic Applications Chemistry of Materials. 16: 1763-1769. DOI: 10.1021/Cm0346374  0.49
2004 Yan X, Liu G, Dickey M, Willson CG. Preparation of porous polymer membranes using nano- or micro-pillar arrays as templates Polymer. 45: 8469-8474. DOI: 10.1016/J.Polymer.2004.09.073  0.575
2004 Colburn M, Choi BJ, Sreenivasan SV, Bonnecaze RT, Willson CG. Ramifications of lubrication theory on imprint lithography Microelectronic Engineering. 75: 321-329. DOI: 10.1016/J.Mee.2004.05.010  0.612
2004 Jones RL, Hu T, Lin EK, Wu WL, Goldfarb DL, Angelopoulos M, Trinque BC, Schmid GM, Stewart MD, Willson CG. Formation of deprotected fuzzy blobs in chemically amplified resists Journal of Polymer Science, Part B: Polymer Physics. 42: 3063-3069. DOI: 10.1002/Polb.20168  0.793
2003 Willson CG, Trinque BC. The Evolution of Materials for the Photolithographic Process Journal of Photopolymer Science and Technology. 16: 621-627. DOI: 10.2494/Photopolymer.16.621  0.767
2003 Jones RL, Hu T, Prabhu VM, Soles CL, Lin EK, Wu WL, Goldfarb DL, Angelopoulos M, Trinque BC, Willson CG. Deprotection volume characteristics and line edge morphology in chemically amplified resists Proceedings of Spie - the International Society For Optical Engineering. 5039: 1031-1040. DOI: 10.1117/12.485146  0.744
2003 Trinque BC, Chambers CR, Osborn BP, Callahan RP, Lee GS, Kusumoto S, Sanders DP, Grubbs RH, Conley WE, Willson CG. Vacuum-UV influenced design of polymers and dissolution inhibitors for next generation photolithography Journal of Fluorine Chemistry. 122: 17-26. DOI: 10.1016/S0022-1139(03)00076-9  0.823
2002 Lin EK, Soles CL, Goldfarb DL, Trinque BC, Burns SD, Jones RL, Lenhart JL, Angelopoulos M, Willson CG, Satija SK, Wu WL. Direct measurement of the reaction front in chemically amplified photoresists. Science (New York, N.Y.). 297: 372-5. PMID 12130778 DOI: 10.1126/Science.1072092  0.789
2002 Conley W, Miller D, Chambers C, Trinque BC, Osborn B, Chiba T, Zimmerman P, Dammel R, Romano A, Willson CG. Dissolution Inhibitors for 157 nm Lithography: A Progress Report. Journal of Photopolymer Science and Technology. 15: 613-617. DOI: 10.2494/Photopolymer.15.613  0.825
2002 Willson CG, Trinque BC, Osborn BP, Chambers CR, Hsieh YT, Chiba T, Zimmerman P, Miller D, Conley W. The Design of Resist Materials for 157nm Lithography Journal of Photopolymer Science and Technology. 15: 583-590. DOI: 10.2494/Photopolymer.15.583  0.825
2002 Stewart MD, Tran HV, Schmid GM, Stachowiak TB, Becker DJ, Willson CG. Acid catalyst mobility in resist resins Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 2946-2952. DOI: 10.1116/1.1523027  0.771
2002 Schmid GM, Burns SD, Tsiartas PC, Willson CG. Electrostatic effects during dissolution of positive tone photoresists Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 2913-2919. DOI: 10.1116/1.1521735  0.748
2002 Burns SD, Schmid GM, Tsiartas PC, Willson CG, Flanagin L. Advancements to the critical ionization dissolution model Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 537-543. DOI: 10.1116/1.1450593  0.751
2002 Trinque BC, Chiba T, Hung RJ, Chambers CR, Pinnow MJ, Osborn BP, Tran HV, Wunderlich J, Hsieh YT, Thomas BH, Shafer G, Desmarteau DD, Conley W, Willson CG. Recent advances in resists for 157 nm microlithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 531-536. DOI: 10.1116/1.1450589  0.813
2002 Schmid GM, Stewart MD, Singh VK, Willson CG. Spatial distribution of reaction products in positive tone chemically amplified resists Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 185-190. DOI: 10.1116/1.1431954  0.729
2002 Tran HV, Hung RJ, Chiba T, Yamada S, Mrozek T, Hsieh YT, Chambers CR, Osborn BP, Trinque BC, Pinnow MJ, MacDonald SA, Willson CG, Sanders DP, Connor EF, Grubbs RH, et al. Metal-catalyzed vinyl addition polymers for 157 nm resist applications. 2. Fluorinated norbornenes: Synthesis, polymerization, and initial imaging results Macromolecules. 35: 6539-6549. DOI: 10.1021/Ma0122371  0.811
2001 Tran HV, Hung RJ, Chiba T, Yamada S, Mrozek T, Hsieh Y, Chambers CR, Osborn BP, Trinque BC, Pinnow MJ, Sanders DP, Connor EF, Grubbs RH, Conley W, MacDonald SA, ... Willson CG, et al. Fluoropolymer Resist Materials for 157nm Microlithography. Journal of Photopolymer Science and Technology. 14: 669-674. DOI: 10.2494/Photopolymer.14.669  0.814
2001 Colburn M, Suez I, Choi BJ, Meissl M, Bailey T, Sreenivasan SV, Ekerdt JG, Willson CG. Characterization and modeling of volumetric and mechanical properties for step and flash imprint lithography photopolymers Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 19: 2685-2689. DOI: 10.1116/1.1420199  0.741
2001 Colburn M, Grot A, Choi BJ, Amistoso M, Bailey T, Sreenivasan SV, Ekerdt JG, Willson CG. Patterning nonflat substrates with a low pressure, room temperature, imprint lithography process Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 19: 2162-2172. DOI: 10.1116/1.1417543  0.735
2001 Gardiner AB, Burns S, Qin A, Willson CG. Determination of residual casting solvent concentration gradients in resist films by a `halt development' technique Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 19: 136-141. DOI: 10.1116/1.1343096  0.658
2001 Erdmann A, Henderson CL, Willson CG. Impact of exposure induced refractive index changes of photoresists on the photolithographic process Journal of Applied Physics. 89: 8163-8168. DOI: 10.1063/1.1359165  0.53
2000 Chiba T, Hung RJ, Yamada S, Trinque B, Yamachika M, Brodsky C, Patterson K, Heyden AV, Jamison A, Lin SH, Somervell M, Byers J, Conley W, Willson CG. 157 nm Resist Materials: A Progress Report. Journal of Photopolymer Science and Technology. 13: 657-664. DOI: 10.2494/Photopolymer.13.657  0.818
2000 Brodsky C, Byers J, Conley W, Hung R, Yamada S, Patterson K, Somervell M, Trinque B, Tran HV, Cho S, Chiba T, Lin SH, Jamieson A, Johnson H, Vander Heyden T, ... Willson CG, et al. 157 nm resist materials: Progress report Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 18: 3396-3401. DOI: 10.1116/1.1321762  0.794
2000 Somervell MH, Fryer DS, Osborn B, Patterson K, Byers J, Willson CG. Study of the fundamental contributions to line edge roughness in a 193 nm, top surface imaging system Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 18: 2551-2559. DOI: 10.1116/1.1289547  0.792
2000 Stewart MD, Patterson K, Somervell MH, Willson CG. Organic imaging materials: a view of the future Journal of Physical Organic Chemistry. 13: 767-774. DOI: 10.1002/1099-1395(200012)13:12<767::Aid-Poc323>3.0.Co;2-A  0.778
1999 Yamachika M, Patterson K, Cho S, Rager T, Yamada S, Byers J, Paniez PJ, Mortini B, Gally S, Sassoulas PO, Willson CG. Improvement of Post-Exposure Delay Stability in Alicyclic ArF Excimer Photoresists Journal of Photopolymer Science and Technology. 12: 553-560. DOI: 10.2494/Photopolymer.12.553  0.801
1999 Flanagin LW, Singh VK, Willson CG. Surface roughness development during photoresist dissolution Journal of Vacuum Science & Technology B. 17: 1371-1379. DOI: 10.1116/1.590763  0.317
1999 Medeiros DR, Hale MA, Hung RJP, Leitko JK, Willson CG. Ferroelectric cyclic oligosiloxane liquid crystals Journal of Materials Chemistry. 9: 1453-1460. DOI: 10.1039/A809842E  0.341
1999 Flanagin LW, Singh VK, Willson CG. Molecular model of phenolic polymer dissolution in photolithography Journal of Polymer Science Part B. 37: 2103-2113. DOI: 10.1002/(Sici)1099-0488(19990815)37:16<2103::Aid-Polb13>3.0.Co;2-5  0.379
1998 Byers J, Patterson K, Cho S, McCallum M, Willson CG. Recent Advancements In Cycloolefin Based Resists For ArF Lithography. Journal of Photopolymer Science and Technology. 11: 465-474. DOI: 10.2494/Photopolymer.11.465  0.758
1998 Okoroanyanwu U, Shimokawa T, Byers aJ, Willson CG. Alicyclic Polymers for 193 nm Resist Applications: Synthesis and Characterization Chemistry of Materials. 10: 3319-3327. DOI: 10.1021/Cm9705045  0.428
1998 Okoroanyanwu U, Shimokawa T, Byers JD, Willson CG. Pd(II)-catalyzed addition polymerization and ring opening metathesis polymerization of alicyclic monomers: Routes to new matrix resins for 193 nm photolithography Journal of Molecular Catalysis a: Chemical. 133: 93-114. DOI: 10.1016/S1381-1169(98)00097-1  0.405
1996 Willson CG. Perspective: Comments on “Photoinitiated Cationic Polymerization with Triarylsulfonium Salts,” by J. V. Crivello and J. H. W. Lam, J. Polym. Sci.: Polym. Chem. Ed., 17, 977 (1979) Journal of Polymer Science Part A. 34: 3229-3230. DOI: 10.1002/Pola.1996.872  0.344
1995 Leung M, Frechet JMJ, Cameron JF, Willson CG. Design and Synthesis of Photoactive Polymer Systems Based on Amine-Catalyzed Intramolecular Imidization of Polymer Side Chains Macromolecules. 28: 4693-4700. DOI: 10.1021/Ma00117A048  0.416
1994 Lee SM, Frechet JMJ, Willson CG. Photocrosslinking of Poly(4-hydroxystyrene) via Electrophilic Aromatic Substitution: Use of Polyfunctional Benzylic Alcohols in the Design of Chemically Amplified Resist Materials with Tunable Sensitivities Macromolecules. 27: 5154-5159. DOI: 10.1021/Ma00096A044  0.381
1993 Zentel R, Jungbauer D, Twieg RJ, Yoon DY, Willson CG. Die Makromolekulare Chemie. 194: 859-868. DOI: 10.1002/Macp.1993.021940310  0.353
1991 Frechet JMJ, Matuszczak S, Reck B, Stover HDH, Willson CG. Chemically amplified imaging materials based on electrophilic aromatic substitution: poly[4-(acetoxymethyl)styrene-co-4-hydroxystyrene] Macromolecules. 24: 1746-1754. DOI: 10.1021/Ma00008A010  0.377
1991 Stover HDH, Matuszczak S, Willson CG, Frechet JMJ. Design of polymeric imaging materials based on electrophilic aromatic substitution: model studies Macromolecules. 24: 1741-1745. DOI: 10.1021/Ma00008A009  0.329
1989 Eich M, Reck B, Yoon DY, Willson CG, Bjorklund GC. Novel second-order nonlinear optical polymers via chemical cross-linking-induced vitrification under electric field Journal of Applied Physics. 66: 3241-3247. DOI: 10.1063/1.344115  0.376
1988 Seligson D, Ito H, Willson CG. The impact of high‐sensitivity resist materials on x‐ray lithography Journal of Vacuum Science & Technology B. 6: 2268-2273. DOI: 10.1116/1.584068  0.318
1988 Fréchet JMJ, Kallman N, Kryczka B, Eichler E, Houlihan FM, Willson CG. Novel derivatives of poly(4-hydroxystyrene) with easily removable tertiary, allylic, or benzylic ethers Polymer Bulletin. 20: 427-434. DOI: 10.1007/Bf01153433  0.419
1987 Fréchet JMJ, Bouchard F, Eichler E, Houlihan FM, Iizawa T, Kryczka B, Willson CG. Thermally Depolymerizable Polycarbonates V. Acid Catalyzed Thermolysis of Allylic and Benzylic Polycarbonates: A New Route to Resist Imaging Polymer Journal. 19: 31-49. DOI: 10.1295/Polymj.19.31  0.301
1987 Kutal C, Willson CG. Photoinitiated Cross‐Linking and Image Formation in Thin Polymer Films Containing a Transition Metal Compound Journal of the Electrochemical Society. 134: 2280-2285. DOI: 10.1149/1.2100871  0.331
1987 Jiang Y, Fréchet JMJ, Willson CG. Poly(vinyl-t-butyl carbonate) synthesis and thermolysis to poly(vinyl alcohol) Polymer Bulletin. 17: 1-6. DOI: 10.1007/Bf00955876  0.319
1986 Willson CG, Fréchet JMJ, Ito H, Tessier TG, Houlihan FM. Approaches to the Design of Radiation-Sensitive Polymeric Imaging Systems with Improved Sensitivity and Resolution Journal of the Electrochemical Society. 133: 181-187. DOI: 10.1149/1.2108519  0.314
1983 MacDonald SA, Ito H, Willson CG. Advances in the design of organic resist materials Microelectronic Engineering. 1: 269-293. DOI: 10.1016/0167-9317(83)90017-5  0.38
1983 Fréchet JMJ, Eichler E, Ito H, Willson CG. Poly(p-tert-butoxycarbonyloxystyrene): a convenient precursor to p-hydroxystyrene resins Polymer. 24: 995-1000. DOI: 10.1016/0032-3861(83)90150-7  0.309
1983 Ito H, Willson CG. Chemical amplification in the design of dry developing resist materials Polymer Engineering and Science. 23: 1012-1018. DOI: 10.1002/Pen.760231807  0.418
1983 Willson CG, Ito H, Miller DC, Tessier TG. Poly(methyl α-trifluoromethylacrylate) as a positive electron beam resist Polymer Engineering and Science. 23: 1000-1003. DOI: 10.1002/Pen.760231805  0.32
1982 Frechet JMJ, Farrall JM, Willson CG. Chemical modification of poly (methyl acrylate) via metalation and α — substitution Polymer Bulletin. 7: 567-573. DOI: 10.1007/Bf00256088  0.323
1977 Slama JT, Willson CG, Grimshaw CE, Rapoport H. Stereochemistry of the porphyrin-protein bond of cytochrome c. Stereochemical comparison of Rhodospirillum rubrum, yeast, and horse heart porphyrins c. Biochemistry. 16: 1750-4. PMID 192273 DOI: 10.1021/Bi00627A036  0.314
1975 Slama JT, Smith HW, Willson CG, Rapoport H. Porphyrin-protein bond of cytochrome C. Structure of porphyrin C. Journal of the American Chemical Society. 97: 6556-62. PMID 171295 DOI: 10.1002/Chin.197602358  0.395
1974 Jacobson SJ, Willson CG, Rapoport H. Mechanism of cystine racemization in strong acid. The Journal of Organic Chemistry. 39: 1074-7. PMID 4847772 DOI: 10.1002/Chin.197436414  0.327
Show low-probability matches.