Matthew E. Colburn, Ph.D. - Publications

Affiliations: 
2001 University of Texas at Austin, Austin, Texas, U.S.A. 
Area:
design and synthesis of functional organic materials

74 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2017 Liu C, Franke E, Mignot Y, LeFevre S, Sieg S, Chi C, Meli L, Parnell D, Schmidt K, Sanchez M, Singh L, Furukawa T, Seshadri I, Silva EAD, Tsai H, ... ... Colburn M, et al. DSA patterning options for logics and memory applications Proceedings of Spie. 10146: 1014603. DOI: 10.1117/12.2260479  0.701
2017 Lai K, Liu C, Tsai H, Xu Y, Chi C, Raghunathan A, Dhagat P, Hu L, Park O, Jung S, Cho W, Morillo J, Pitera J, Schmidt K, Guillorn M, ... ... Colburn M, et al. Design technology co-optimization assessment for directed self-assembly-based lithography: design for directed self-assembly or directed self-assembly for design? Journal of Micro/Nanolithography, Mems, and Moems. 16: 013502. DOI: 10.1117/1.Jmm.16.1.013502  0.394
2016 Liu C, Franke E, Lie FL, Sieg S, Tsai H, Lai K, Truong H, Farrell R, Somervell M, Sanders D, Felix N, Guillorn M, Burns S, Hetzer D, Ko A, ... ... Colburn M, et al. Directed self-assembly patterning for forming fin field effect transistors Spie Newsroom. DOI: 10.1117/2.1201606.006519  0.675
2016 Felix N, Colburn M, Petrillo K, Saulnier N, Xu Y, Meli L, Silva AD, Seshadri I, Sieg S, Dunn D. Successes and frontiers in extreme UV patterning Spie Newsroom. DOI: 10.1117/2.1201605.006518  0.416
2016 Xu Y, Faure T, Viswanathan R, Lobb G, Wistrom R, Burns S, Hu L, Graur I, Bleiman B, Fischer D, Mignot Y, Sakamoto Y, Toda Y, Bolton J, Bailey T, ... ... Colburn M, et al. Lithographic qualification of high-Transmission mask blank for 10nm node and beyond Proceedings of Spie - the International Society For Optical Engineering. 9780. DOI: 10.1117/12.2219778  0.686
2016 Chi C, Liu CC, Meli L, Schmidt K, Xu Y, Desilva EA, Sanchez M, Farrell R, Cottle H, Kawamura D, Singh L, Furukawa T, Lai K, Pitera JW, Sanders D, ... ... Colburn M, et al. DSA via hole shrink for advanced node applications Proceedings of Spie - the International Society For Optical Engineering. 9777. DOI: 10.1117/12.2219706  0.538
2016 Liu CCC, Franke E, Lie FL, Sieg S, Tsai H, Lai K, Truong H, Farrell R, Somervell M, Sanders D, Felix N, Guillorn M, Burns S, Hetzer D, Ko A, ... ... Colburn M, et al. DSA patterning options for FinFET formation at 7nm node Proceedings of Spie - the International Society For Optical Engineering. 9777. DOI: 10.1117/12.2219670  0.803
2016 Tsai H, Miyazoe H, Vora A, Magbitang T, Arellano N, Liu CC, Maher MJ, Durand WJ, Dawes SJ, Bucchignano JJ, Gignac L, Sanders DP, Joseph EA, Colburn ME, Willson CG, et al. High chi block copolymer DSA to improve pattern quality for FinFET device fabrication Proceedings of Spie - the International Society For Optical Engineering. 9779. DOI: 10.1117/12.2219544  0.661
2016 Rastogi V, Beique G, Sun L, Cottle H, Feurprier Y, Metz A, Kumar K, Labelle C, Arnold J, Colburn M, Ranjan A. Plasma etch patterning of EUV lithography: balancing roughness and selectivity trade off Proceedings of Spie. 9782. DOI: 10.1117/12.2216840  0.45
2016 Guo D, Karve G, Tsutsui G, Lim KY, Robison R, Hook T, Vega R, Liu D, Bedell S, Mochizuki S, Lie F, Akarvardar K, Wang M, Bao R, Burns S, ... ... Colburn M, et al. FINFET technology featuring high mobility SiGe channel for 10nm and beyond Digest of Technical Papers - Symposium On Vlsi Technology. 2016. DOI: 10.1109/VLSIT.2016.7573360  0.644
2016 Standaert T, Beique G, Chen HC, Chen ST, Hamieh B, Lee J, McLaughlin P, McMahon J, Mignot Y, Mont F, Motoyama K, Nguyen S, Patlolla R, Peethala B, Priyadarshini D, ... ... Colburn M, et al. BEOL process integration for the 7 nm technology node 2016 Ieee International Interconnect Technology Conference / Advanced Metallization Conference, Iitc/Amc 2016. 2-4. DOI: 10.1109/IITC-AMC.2016.7507636  0.377
2015 Saulnier N, Xu Y, Wang W, Sun L, Cheong LL, Lallement R, Beique G, Hamieh B, Arnold JC, Felix N, Colburn M. EUV processing and characterization for BEOL Proceedings of Spie - the International Society For Optical Engineering. 9422. DOI: 10.1117/12.2086126  0.489
2015 Liu CC, Lie FL, Rastogi V, Franke E, Mohanty N, Farrell R, Tsai H, Lai K, Ozlem M, Cho W, Jung SG, Strane J, Somervell M, Burns S, Felix N, ... ... Colburn M, et al. Fin formation using graphoepitaxy DSA for FinFET device fabrication Proceedings of Spie - the International Society For Optical Engineering. 9423. DOI: 10.1117/12.2086053  0.816
2015 Petrillo K, Saulnier N, Johnson R, Meli L, Robinson C, Koay CS, Felix N, Corliss D, Colburn M, Saito T, Huli L, Hetzer D, Matsumoto H, Metz A, Hira Y. Towards production ready processing with a state-of-the-art EUV cluster Proceedings of Spie - the International Society For Optical Engineering. 9422. DOI: 10.1117/12.2085894  0.409
2015 Tsai HY, Miyazoe H, Cheng J, Brink M, Dawes S, Klaus D, Bucchignano J, Sanders D, Joseph E, Colburn M, Guillorn M. Self-aligned line-space pattern customization with directed self-assembly graphoepitaxy at 24nm pitch Proceedings of Spie - the International Society For Optical Engineering. 9423. DOI: 10.1117/12.2084845  0.484
2014 Tsai H, Pitera JW, Miyazoe H, Bangsaruntip S, Engelmann SU, Liu CC, Cheng JY, Bucchignano JJ, Klaus DP, Joseph EA, Sanders DP, Colburn ME, Guillorn MA. Two-dimensional pattern formation using graphoepitaxy of PS-b-PMMA block copolymers for advanced FinFET device and circuit fabrication. Acs Nano. 8: 5227-32. PMID 24670216 DOI: 10.1021/Nn501300B  0.495
2014 Liu CC, Estrada-Raygoza C, He H, Cicoria M, Rastogi V, Mohanty N, Tsai H, Schepis A, Lai K, Chao R, Liu D, Guillorn M, Cantone J, Mignot S, Kim RH, ... ... Colburn M, et al. Towards electrical testable soi devices using directed self-assembly for fin formation Proceedings of Spie - the International Society For Optical Engineering. 9049. DOI: 10.1117/12.2046462  0.72
2014 Seo KI, Haran B, Gupta D, Guo D, Standaert T, Xie R, Shang H, Alptekin E, Bae DI, Bae G, Boye C, Cai H, Chanemougame D, Chao R, Cheng K, ... ... Colburn M, et al. A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI Digest of Technical Papers - Symposium On Vlsi Technology. DOI: 10.1109/VLSIT.2014.6894342  0.658
2014 Guo D, Shang H, Seo K, Haran B, Standaert T, Gupta D, Alptekin E, Bae D, Bae G, Chanemougame D, Cheng K, Cho J, Hamieh B, Hong J, Hook T, ... ... Colburn M, et al. 10nm FINFET technology for low power and high performance applications Proceedings - 2014 Ieee 12th International Conference On Solid-State and Integrated Circuit Technology, Icsict 2014. DOI: 10.1109/ICSICT.2014.7021207  0.634
2013 Matsui Y, Lin C, Abdallah JA, Tseng C, Xu Y, Colburn M. Pattern Scaling of Holes, Bars, and Trenches with Directed Self-Assembly using Polymer Blend Journal of Photopolymer Science and Technology. 26: 59-63. DOI: 10.2494/Photopolymer.26.59  0.326
2013 Liu CC, Estrada-Raygoza IC, Abdallah J, Holmes S, Yin Y, Schepis A, Cicoria M, Hetzer D, Tsai H, Guilllorn M, Tjio M, Cheng J, Somervell M, Colburn M. Directed self-assembly process implementation in a 300mm pilot line environment Proceedings of Spie - the International Society For Optical Engineering. 8680. DOI: 10.1117/12.2011610  0.728
2013 Liu S, Holmes S, Chen KJ, Huang WS, Kwong R, Breyta G, Doris B, Cheng K, Luning S, Vinet M, Grenouillet L, Liu Q, Colburn M, Wu CH. Development of KrF hybrid resist for a dual isolation application Proceedings of Spie - the International Society For Optical Engineering. 8682. DOI: 10.1117/12.2011515  0.339
2013 Saulnier N, Koay CS, Colburn M, Hetzer D, Cicoria M, Ludwicki J. Feasibility study of resist slimming for SIT Proceedings of Spie - the International Society For Optical Engineering. 8682. DOI: 10.1117/12.2011506  0.374
2012 Mehta SS, Xu Y, Landie G, Chauhan V, Burns SD, Lawson P, Hamieh B, Wandel J, Glodde M, Sun YY, Kelling M, Thomas A, Kim JS, Chen J, Kato H, ... ... Colburn M, et al. Assessment of negative tone development challenges Proceedings of Spie - the International Society For Optical Engineering. 8325. DOI: 10.1117/12.917560  0.715
2012 Hitomi K, Lavigne E, Hotta S, Momonoi Y, Colburn M, Yamaguchi A, Sasada K, Maeda T. Methodology for establishing CD-SEM robust metrology algorithm for development cycles applications Proceedings of Spie. 8324. DOI: 10.1117/12.916492  0.36
2012 Wood O, Arnold J, Brunner T, Burkhardt M, Chen JHC, Civay D, Fan SSC, Gallagher E, Halle S, He M, Higgins C, Kato H, Kye J, Koay CS, Landie G, ... ... Colburn M, et al. Insertion strategy for EUV lithography Proceedings of Spie - the International Society For Optical Engineering. 8322. DOI: 10.1117/12.916292  0.516
2012 Kim RH, Watso R, Van Dommelen Y, Finders J, Colburn ME, Levinson HJ. Wafer level critical dimension control in spacer-defined double patterning for sub-72 nm pitch logic technology Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 30. DOI: 10.1116/1.3684894  0.363
2011 Landie G, Xu Y, Burns S, Yoshimoto K, Burkhardt M, Zhuang L, Petrillo K, Meiring J, Goldfarb D, Glodde M, Scaduto A, Colburn M, Desisto J, Bae Y, Reilly M, et al. Fundamental investigation of Negative Tone Development (NTD) for the 22nm node (and beyond) Proceedings of Spie - the International Society For Optical Engineering. 7972. DOI: 10.1117/12.882843  0.778
2011 Kim RH, Koay CS, Burns SD, Yin Y, Arnold JC, Waskiewicz C, Mehta S, Burkhardt M, Colburn ME, Levinson HJ. Spacer-defined double patterning for 20-nm and beyond logic BEOL technology Proceedings of Spie - the International Society For Optical Engineering. 7973. DOI: 10.1117/12.881701  0.747
2011 Holmes SJ, Tang C, Burns S, Yin Y, Chen R, Koay CS, Kini S, Tomizawa H, Chen ST, Fender N, Osborn B, Singh L, Petrillo K, Landie G, Halle S, ... ... Colburn M, et al. Optimization of pitch-split double patterning photoresist for applications at the 16nm node Proceedings of Spie - the International Society For Optical Engineering. 7972. DOI: 10.1117/12.881489  0.814
2011 Yoshimoto K, Higgins C, Raghunathan A, Hartley JG, Goldfarb DL, Kato H, Petrillo K, Colburn ME, Schefske J, Wood O, Wallow TI. Revisit pattern collapse for 14nm node and beyond Proceedings of Spie - the International Society For Optical Engineering. 7972. DOI: 10.1117/12.880180  0.484
2011 Koay C, Halle S, Holmes S, Petrillo K, Colburn M, Dommelen Yv, Jiang A, Crouse M, Dunn S, Hetzer D, Kawakami S, Cantone J, Huli L, Rodgers M, Martinick B. Towards manufacturing of advanced logic devices by double-patterning Proceedings of Spie. 7973. DOI: 10.1117/12.879618  0.478
2011 Felix NM, Gabor AH, Menon VC, Longo PP, Halle SD, Koay CS, Colburn ME. Overlay improvement roadmap: Strategies for scanner control and product disposition for 5-nm overlay Proceedings of Spie - the International Society For Optical Engineering. 7971. DOI: 10.1117/12.879532  0.397
2011 Tomizawa H, Chen ST, Horak D, Kato H, Yin Y, Ishikawa M, Kelly J, Koay CS, Landie G, Burns S, Tsumura K, Tagami M, Shobha H, Sankarapandian M, Van Der Straten O, ... ... Colburn M, et al. Robust self-aligned via process for 64nm pitch Dual-Damascene interconnects using pitch split double exposure patterning scheme 2011 Ieee International Interconnect Technology Conference and 2011 Materials For Advanced Metallization, Iitc/Mam 2011. DOI: 10.1109/IITC.2011.5940305  0.693
2011 Chen ST, Tomizawa H, Tsumura K, Tagami M, Shobha H, Sankarapandian M, Van Der Straten O, Kelly J, Canaperi D, Levin T, Cohen S, Yin Y, Horak D, Ishikawa M, Mignot Y, ... ... Colburn M, et al. 64 nm pitch Cu dual-damascene interconnects using pitch split double exposure patterning scheme 2011 Ieee International Interconnect Technology Conference and 2011 Materials For Advanced Metallization, Iitc/Mam 2011. DOI: 10.1109/IITC.2011.5940273  0.64
2011 Holmes SJ, Tang C, Burns S, Yin Y, Chen R, Koay CS, Kini S, Tomizawa H, Chen ST, Fender N, Osborn B, Singh L, Petrillo K, Landie G, Halle S, ... ... Colburn M, et al. Optimization of pitch-split double patterning phoresist for applications at the 16nm node Asmc (Advanced Semiconductor Manufacturing Conference) Proceedings. DOI: 10.1109/ASMC.2011.5898203  0.793
2010 Cheng JY, Sanders DP, Truong HD, Harrer S, Friz A, Holmes S, Colburn M, Hinsberg WD. Simple and versatile methods to integrate directed self-assembly with optical lithography using a polarity-switched photoresist. Acs Nano. 4: 4815-23. PMID 20731456 DOI: 10.1021/Nn100686V  0.37
2010 Sanders DP, Cheng J, Rettner CT, Hinsberg WD, Kim HC, Friz HT, Harrer S, Holmes S, Colburn M. Integration of directed self-assembly with 193 nm lithography Journal of Photopolymer Science and Technology. 23: 11-18. DOI: 10.2494/Photopolymer.23.11  0.491
2010 Wood O, Koay CS, Petrillo K, Mizuno H, Raghunathan S, Arnold J, Horak D, Burkhardt M, Mcintyre G, Deng Y, La Fontaine B, Okoroanyanwu U, Wallow T, Landie G, Standaert T, ... ... Colburn M, et al. EUV lithography at the 22nm technology node Proceedings of Spie - the International Society For Optical Engineering. 7636. DOI: 10.1117/12.847049  0.724
2010 Holmes SJ, Tang C, Arnold JC, Yin Y, Chen R, Fender N, Osborn B, Dabbagh G, Liu S, Colburn M, Varanasi RP, Slezak M. Process characterization of pitch-split resist materials for application at 16nm node Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.846891  0.482
2010 Koay CS, Holmes S, Petrillo K, Colburn M, Burns S, Dunn S, Cantone J, Hetzer D, Kawakami S, Van Dommelen Y, Jiang A, Many M, Routh R, Huli L, Martinick B, et al. Evaluation of double-patterning techniques for advanced logic nodes Proceedings of Spie - the International Society For Optical Engineering. 7640. DOI: 10.1117/12.846769  0.741
2010 Reilly M, Bae YC, Vohra V, Koay CS, Colburn M. Evolution of thermal cure resist for double patterning applications Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.846748  0.505
2010 Kim RH, McLellan E, Yin Y, Arnold J, Kanakasabapathy S, Mehta S, Ma Y, Burkhardt M, Cain J, McIntyre G, Colburn ME, Levinson HJ. Spacer defined double patterning for sub-72 nm pitch logic technology Proceedings of Spie - the International Society For Optical Engineering. 7640. DOI: 10.1117/12.846698  0.517
2010 Momonoi Y, Osabe T, Yamaguchi A, McLellan Martin E, Koyanagi H, Colburn ME, Torii K. CD-SEM metrology of spike detection on sub-40 nm contact holes Proceedings of Spie - the International Society For Optical Engineering. 7638. DOI: 10.1117/12.846656  0.347
2010 Metz A, Dunn S, Hetzer D, Cantone J, Kawakami S, Winter T, Petrillo K, Horak D, Fan S, Colburn M. Controlling 2D aspect ratio of elliptical contact level interconnects utilizing spin-on and reactive ion etch critical dimension shrink for the 22-nm node Proceedings of Spie. 7639. DOI: 10.1117/12.846625  0.422
2010 Petrillo K, Colburn M, Dunn S, Hetzer D, Winter T, Shimura S. Investigation of lithographic feature characteristics using UV cure as a pitch doubling stabilization technology for the 32nm node and beyond Proceedings of Spie. 7637. DOI: 10.1117/12.846624  0.49
2010 Harrer S, Arnold JC, Goldfarb DL, Holmes SJ, Chen R, Tang C, Slezak M, Fender N, Della Guardia RA, Joseph EA, Engelmann SU, Chen ST, Horak D, Yin Y, Varanasi RP, ... Colburn ME, et al. Fabrication of dual damascene BEOL structures using a multi-level multiple exposure (MLME) scheme - Part 2. RIE-based pattern transfer and completion of dual damascene process yielding an electrically functional via chain Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.846593  0.506
2010 Goldfarb DL, Harrer S, Arnold JC, Holmes SJ, Chen R, Tang C, Fender N, Slezak M, Della Guardia RA, Joseph EA, Engelmann SU, Varanasi RP, Colburn ME. Fabrication of dual damascene BEOL structures using a Multi-Level Multiple Exposure (MLME) scheme - Part 1. Lithographic patterning Proceedings of Spie - the International Society For Optical Engineering. 7639. DOI: 10.1117/12.846443  0.452
2010 Koay CS, Colburn ME, Izikson P, Robinson JC, Kato C, Kurita H, Nagaswami V. Automated optimized overlay sampling for high-order processing in double patterning lithography Proceedings of Spie - the International Society For Optical Engineering. 7638. DOI: 10.1117/12.846371  0.362
2010 Kim RH, Holmes S, Halle S, Dai V, Meiring J, Dave A, Colburn ME, Levinson HJ. 22-nm-node technology active-layer patterning for planar transistor devices Journal of Micro/Nanolithography, Mems, and Moems. 9. DOI: 10.1117/1.3302125  0.793
2009 Holmes S, Koay CS, Petrillo K, Chen KJ, Colburn ME, Cantone J, Ueda K, Metz A, Dunn S, Van Dommelen Y, Crouse M, Galloway J, Schmitt-Weaver E, Jiang A, Routh R, et al. Engine for characterization of defects, overlay and critical dimension control for double exposure processes for advanced logic nodes Proceedings of Spie - the International Society For Optical Engineering. 7273. DOI: 10.1117/12.828483  0.52
2009 Melville DOS, Rosenbluth AE, Tian K, Goldfarb D, Harrer S, Colburn M. Source optimization for three-dimensional image designs through film stacks Proceedings of Spie - the International Society For Optical Engineering. 7274. DOI: 10.1117/12.814700  0.349
2009 Burkharde M, Arnold JC, Baum Z, Burns S, Change J, Chen J, Cho J, Dai V, Deng Y, Halley S, Han G, Holmes S, Horak D, Kanakasabapathy S, Kim RH, ... ... Colburn M, et al. Overcoming the challenges of 22-nm node patterning through litho-design co-optimization Proceedings of Spie - the International Society For Optical Engineering. 7274. DOI: 10.1117/12.814433  0.703
2009 Wood O, Koay CS, Petrillo K, Mizuno H, Raghunathan S, Arnold J, Horak D, Burkhardt M, Mcintyre G, Deng Y, Fontaine BL, Okoroanyanwu U, Tchikoulaeva A, Wallow T, Chen JHC, ... Colburn M, et al. Integration of EUV lithography in the fabrication of 22-nm node devices Proceedings of Spie - the International Society For Optical Engineering. 7271. DOI: 10.1117/12.814379  0.558
2009 Kim RH, Holmes S, Halle S, Dai V, Meiring J, Dave A, Colburn ME, Levinson HJ. 22 nm technology node active layer patterning for planar transistor devices Proceedings of Spie - the International Society For Optical Engineering. 7274. DOI: 10.1117/12.814277  0.806
2008 Harder PM, Shedd TA, Colburn M. Static and dynamic wetting characteristics of nano-patterned surfaces Journal of Adhesion Science and Technology. 22: 1931-1948. DOI: 10.1163/156856108X320078  0.341
2008 Lai K, Burns S, Halle S, Zhuang L, Colburn M, Allen S, Babeock C, Baum Z, Burkhardt M, Dai V, Dunn D, Geiss E, Haffner H, Han G, Lawson P, et al. 32 NM Logic patterning options with immersion lithography Proceedings of Spie - the International Society For Optical Engineering. 6924. DOI: 10.1117/12.784107  0.817
2008 La Fontaine B, Deng Y, Kim RH, Levinson HJ, McGowan S, Okoroanyanwu U, Seltmann R, Tabery C, Tchikoulaeva A, Wallow T, Wood O, Arnold J, Canaperi D, Colburn M, Kimmel K, et al. The use of EUV lithography to produce demonstration devices Proceedings of Spie - the International Society For Optical Engineering. 6921. DOI: 10.1117/12.772933  0.509
2008 Haran BS, Kumar A, Adam L, Chang J, Basker V, Kanakasabapathy S, Horak D, Fan S, Chen J, Faltermeier J, Seo S, Burkhardt M, Burns S, Halle S, Holmes S, ... ... Colburn M, et al. 22 nm technology compatible fully functional 0.1 μm 2 6T-sram cell Technical Digest - International Electron Devices Meeting, Iedm. DOI: 10.1109/IEDM.2008.4796769  0.652
2007 Black CT, Ruiz R, Breyta G, Cheng JY, Colburn ME, Guarini KW, Kim HC, Zhang Y. Polymer self assembly in semiconductor microelectronics Ibm Journal of Research and Development. 51: 605-633. DOI: 10.1147/Rd.515.0605  0.414
2007 Rosenbluth AE, Melville D, Tian K, Lai K, Seong N, Pfeiffer D, Colburn M. Global optimization of masks, including film stack design to restore TM contrast in high NA TCC's Proceedings of Spie - the International Society For Optical Engineering. 6520. DOI: 10.1117/12.713050  0.321
2007 Khojasteh M, Popova I, Varanasi PR, Sundberg L, Robinson C, Corliss D, Lawson M, Dabbagh G, Slezak M, Colburn M, Petrillo K. Building an immersion top coat from the ground up - Materials perspective Proceedings of Spie - the International Society For Optical Engineering. 6519. DOI: 10.1117/12.712095  0.347
2004 Colburn M, Choi BJ, Sreenivasan SV, Bonnecaze RT, Willson CG. Ramifications of lubrication theory on imprint lithography Microelectronic Engineering. 75: 321-329. DOI: 10.1016/J.Mee.2004.05.010  0.515
2002 Resnick DJ, Bailey TC, Mancini D, Nordquist KJ, Dauksher WJ, Ainley E, Talin A, Gehoski K, Baker JH, Choi BJ, Johnson S, Colburn M, Meissl M, Sreenivasan SV, Ekerdt JG, et al. New methods for fabricating step and flash imprint lithography templates Proceedings of Spie - the International Society For Optical Engineering. 4608: 176-181. DOI: 10.1117/12.437269  0.617
2002 Bailey TC, Resnick DJ, Mancini D, Nordquist KJ, Dauksher WJ, Ainley E, Talin A, Gehoski K, Baker JH, Choi BJ, Johnson S, Colburn M, Meissl M, Sreenivasan SV, Ekerdt JG, et al. Template fabrication schemes for step and flash imprint lithography Microelectronic Engineering. 61: 461-467. DOI: 10.1016/S0167-9317(02)00462-8  0.659
2001 Choi BJ, Meissl M, Colburn M, Bailey T, Ruchhoeft P, Sreenivasan SV, Prins F, Banerjee S, Ekerdt JG, Willson CG. Layer-to-layer alignment for step and flash imprint lithography Proceedings of Spie - the International Society For Optical Engineering. 4343: 436-442. DOI: 10.1117/12.436662  0.581
2001 Bailey T, Smith B, Choi BJ, Colburn M, Meissl M, Sreenivasan SV, Ekerdt JG, Willson CG. Step and flash imprint lithography: Defect analysis Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 19: 2806-2810. DOI: 10.1116/1.1420203  0.565
2001 Colburn M, Suez I, Choi BJ, Meissl M, Bailey T, Sreenivasan SV, Ekerdt JG, Willson CG. Characterization and modeling of volumetric and mechanical properties for step and flash imprint lithography photopolymers Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 19: 2685-2689. DOI: 10.1116/1.1420199  0.687
2001 Colburn M, Grot A, Choi BJ, Amistoso M, Bailey T, Sreenivasan SV, Ekerdt JG, Willson CG. Patterning nonflat substrates with a low pressure, room temperature, imprint lithography process Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 19: 2162-2172. DOI: 10.1116/1.1417543  0.742
2001 Choi BJ, Sreenivasan SV, Johnson S, Colburn M, Wilson CG. Design of orientation stages for step and flash imprint lithography Precision Engineering-Journal of the International Societies For Precision Engineering and Nanotechnology. 25: 192-199. DOI: 10.1016/S0141-6359(01)00068-X  0.659
2000 Bailey T, Choi BJ, Colburn M, Meissl M, Shaya S, Ekerdt JG, Sreenivasan SV, Willson CG. Step and flash imprint lithography: Template surface treatment and defect analysis Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 18: 3572-3577. DOI: 10.1116/1.1324618  0.637
1999 Ruchhoeft P, Colburn M, Choi B, Nounu H, Johnson S, Bailey T, Damle S, Stewart M, Ekerdt J, Sreenivasan SV, Wolfe JC, Willson CG. Patterning curved surfaces: Template generation by ion beam proximity lithography and relief transfer by step and flash imprint lithography Journal of Vacuum Science & Technology B. 17: 2965-2969. DOI: 10.1116/1.590935  0.738
1999 Ruchhoeft P, Colburn M, Choi B, Nounu H, Johnson S, Bailey T, Damle S, Stewart M, Ekerdt J, Sreenivasan SV, Wolfe JC, Willson CG. Patterning curved surfaces: Template generation by ion beam proximity lithography and relief transfer by step and flash imprint lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 17: 2965-2969.  0.608
1999 Colburn M, Johnson S, Stewart M, Damle S, Bailey T, Choi B, Wedlake M, Michaelson T, Sreenivasan SV, Ekerdt J, Willson CG. Step and flash imprint lithography: A new approach to high-resolution patterning Proceedings of Spie - the International Society For Optical Engineering. 3676: 379-389.  0.796
Show low-probability matches.