Suhwan Kim, Ph.D. - Publications

Affiliations: 
2001 University of Michigan, Ann Arbor, Ann Arbor, MI 
Area:
Electronics and Electrical Engineering

75 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Jeong Y, Park J, Kim M, Chae J, Yun J, Lee H, Kim S. A 9Gb/s Wide Output Range Transmitter With 2D Binary-Segmented Driver and Dual-Loop Calibration for Intra-Panel Interfaces Ieee Transactions On Circuits and Systems Ii-Express Briefs. 67: 1589-1593. DOI: 10.1109/Tcsii.2020.3013420  0.417
2020 Yang Y, Lee B, Cho JS, Kim S, Lee H. A Digital Capacitive MEMS Microphone for Speech Recognition With Fast Wake-Up Feature Using a Sound Activity Detector Ieee Transactions On Circuits and Systems Ii-Express Briefs. 67: 1509-1513. DOI: 10.1109/Tcsii.2020.3009926  0.324
2020 Kim S, Rhee C, Kim S. A Wide Dynamic Range Multi-Mode Band-Pass Continuous-Time Delta–Sigma Modulator Employing Single-Opamp Resonator With Positive Resistor-Feedback Ieee Transactions On Circuits and Systems Ii: Express Briefs. 67: 235-239. DOI: 10.1109/Tcsii.2019.2913013  0.354
2020 Chae J, Kim M, Choi S, Kim S. A 10.4-Gb/s 1-Tap Decision Feedback Equalizer With Different Pull-Up and Pull-Down Tap Weights for Asymmetric Memory Interfaces Ieee Transactions On Circuits and Systems Ii: Express Briefs. 67: 220-224. DOI: 10.1109/Tcsii.2019.2911017  0.317
2020 Chae J, Jeong Y, Kim S. Data-Dependent Selection of Amplitude and Phase Equalization in a Quarter-Rate Transmitter for Memory Interfaces Ieee Transactions On Circuits and Systems I-Regular Papers. 67: 2972-2983. DOI: 10.1109/Tcsi.2020.2987052  0.382
2020 Rhee C, Park J, Kim S. A 0.3 lx–1.4 Mlx Monolithic Silicon Nanowire Light-to-Digital Converter With Temperature-Independent Offset Cancellation Ieee Journal of Solid-State Circuits. 55: 378-391. DOI: 10.1109/Jssc.2019.2949257  0.382
2019 Chae J, Ko H, Park J, Kim S. A Quadrature Clock Corrector for DRAM Interfaces, With a Duty-Cycle and Quadrature Phase Detector Based on a Relaxation Oscillator Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 27: 978-982. DOI: 10.1109/Tvlsi.2018.2883730  0.408
2019 Lee S, Ko H, Chae J, Shin S, Yun J, Jeong D, Kim S. A 0.83-pJ/bit 6.4-Gb/s HBM Base Die Receiver using a 45∘ Strobe Phase for Energy-Efficient Skew Compensation Ieee Transactions On Circuits and Systems Ii-Express Briefs. 1-1. DOI: 10.1109/Tcsii.2019.2947296  0.355
2019 Kim T, Shin S, Kim S. An 80.2 dB DR 23.25 mW/Channel 8-Channel Ultrasound Receiver With a Beamforming Embedded SAR ADC Ieee Transactions On Circuits and Systems Ii: Express Briefs. 66: 1487-1491. DOI: 10.1109/Tcsii.2018.2889810  0.336
2019 Chae J, Ko H, Park J, Kim S. A 12.8-Gb/s Quarter-Rate Transmitter Using a 4:1 Overlapped Multiplexing Driver Combined With an Adaptive Clock Phase Aligner Ieee Transactions On Circuits and Systems Ii: Express Briefs. 66: 372-376. DOI: 10.1109/Tcsii.2018.2858810  0.395
2019 Jun J, Park S, Kang J, Kim S. A 22-bit Read-Out IC With 7-ppm INL and Sub-100- $\mu$ Hz 1/ $f$ Corner for DC Measurement Systems Ieee Journal of Solid-State Circuits. 54: 3086-3096. DOI: 10.1109/Jssc.2019.2934817  0.381
2019 Rhee C, Kim S. 8.4-to-16-bit resolution, 1-to-16 kHz bandwidth ADC with programmable-gain functionality for multi-sensor applications Electronics Letters. 55: 982-984. DOI: 10.1049/El.2019.1496  0.438
2018 Kim S, Na S, Yang Y, Kim S. A 2-MHz BW 82-dB DR Continuous-Time Delta–Sigma Modulator With a Capacitor-Based Voltage DAC for ELD Compensation Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 26: 1999-2006. DOI: 10.1109/Tvlsi.2018.2841058  0.435
2018 Park Y, Yun J, Park D, Kim S, Kim S. An Uncooled Microbolometer Infrared Imager With a Shutter-Based Successive-Approximation Calibration Loop Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 26: 122-132. DOI: 10.1109/Tvlsi.2017.2757514  0.356
2018 Cho JS, Rhee C, Kim S, Yang Y, Jun J, Kim S, Lee H. A 1.2-V 108.9-dB A-Weighted DR 101.4-dB SNDR Audio $\Sigma\Delta$ ADC Using a Multi-Rate Noise-Shaping Quantizer Ieee Transactions On Circuits and Systems Ii: Express Briefs. 65: 1315-1319. DOI: 10.1109/Tcsii.2018.2853189  0.432
2018 Kim M, Chae J, Choi S, Hong G, Ko H, Jeong D, Kim S. A 4266 Mb/s/pin LPDDR4 Interface With An Asynchronous Feedback CTLE and An Adaptive 3-Step Eye Detection Algorithm for Memory Controller Ieee Transactions On Circuits and Systems Ii: Express Briefs. 65: 1894-1898. DOI: 10.1109/Tcsii.2018.2819430  0.343
2018 Park J, Chae J, Jeong Y, Lee J, Kim S. A 2.1-Gb/s 12-Channel Transmitter With Phase Emphasis Embedded Serializer for 55-in UHD Intra-Panel Interface Ieee Journal of Solid-State Circuits. 53: 2878-2888. DOI: 10.1109/Jssc.2018.2859808  0.346
2018 Chae J, Kim M, Hong G, Park J, Kim S. A 3.2 Gb/s 16-Channel Transmitter for Intra-Panel Interfaces, With Independently Controllable Output Swing, Common-Mode Voltage, and Equalization Ieee Access. 6: 78055-78064. DOI: 10.1109/Access.2018.2884727  0.377
2018 Ko H, Chae J, Kim S. Single-ended voltage-mode duobinary transmitter with feedback time reduced parallel precoder Electronics Letters. 54: 936-937. DOI: 10.1049/El.2018.5021  0.418
2017 Kim S, Na S, Yang Y, Kim H, Kim T, Cho JS, Kim J, Chang JW, Kim S. A 4×32-Channel Neural Recording System for Deep Brain Stimulation Systems Jsts:Journal of Semiconductor Technology and Science. 17: 129-140. DOI: 10.5573/Jsts.2017.17.1.129  0.355
2017 Jun J, Rhee C, Kim S, Kim S. An SC Interface With Programmable-Gain Embedded $\Delta \Sigma $ ADC for Monolithic Three-Axis 3-D Stacked Capacitive MEMS Accelerometer Ieee Sensors Journal. 17: 5558-5568. DOI: 10.1109/Jsen.2017.2725486  0.434
2017 Chae J-, Kim M, Ko H, Jeong Y, Park J, Hong G-, Jeong D-, Kim S. 266–2133 MHz phase shifter using all-digital delay-locked loop and triangular-modulated phase interpolator for LPDDR4X interface Electronics Letters. 53: 766-768. DOI: 10.1049/El.2017.1291  0.39
2016 Na SI, Kim S, Yang Y. Estimating non-ideal effects within a top-down methodology for the design of continuous-time delta-sigma modulators Journal of Semiconductor Technology and Science. 16: 319-329. DOI: 10.5573/Jsts.2016.16.3.319  0.396
2016 Yim S, Lee H, Lee B, Kang K, Kim S. Design of a two-stage driver for LED MR16 retrofit lamps compatible with electronic transformers Journal of Semiconductor Technology and Science. 16: 1-10. DOI: 10.5573/Jsts.2016.16.1.001  0.334
2016 Park Y, Yang H, Ahn J, Kim S. Column readout circuit with dual integration CDS for infrared imagers Ieice Electronics Express. 13. DOI: 10.1587/Elex.13.20151037  0.325
2016 Lee H, Shim D, Rhee C, Kim M, Kim S. A Sub-1.0-V On-Chip CMOS Thermometer With a Folded Temperature Sensor for Low-Power Mobile DRAM Ieee Transactions On Circuits and Systems Ii: Express Briefs. 63: 553-557. DOI: 10.1109/Tcsii.2016.2530803  0.329
2016 Kim T, Kim S, Woo JK, Lee H. A 9-bit, 110-MS/s pipelined-SAR ADC using time-interleaved technique with shared comparator International System On Chip Conference. 2016: 170-174. DOI: 10.1109/SOCC.2015.7406934  0.375
2016 Chae JH, Hong GM, Park J, Kim M, Ko H, Shin WY, Chi H, Jeong DK, Kim S. A 1.74mW/GHz 0.11-2.5GHz fast-locking, jitter-reducing, 180° phase-shift digital DLL with a window phase detector for LPDDR4 memory controllers 2015 Ieee Asian Solid-State Circuits Conference, a-Sscc 2015 - Proceedings. DOI: 10.1109/ASSCC.2015.7387434  0.308
2015 Kim T, Shin S, Lee H, Lee H, Kim H, Shin E, Kim S. Matlab/Simulink Pulse-Echo Ultrasound System Simulator Based on Experimentally Validated Models. Ieee Transactions On Ultrasonics, Ferroelectrics, and Frequency Control. PMID 26685232 DOI: 10.1109/Tuffc.2015.2508148  0.326
2015 Lee H, Kim J, Ha D, Kim T, Kim S. Differentiating ASK Demodulator for Contactless Smart Cards Supporting VHBR Ieee Transactions On Circuits and Systems Ii: Express Briefs. 62: 641-645. DOI: 10.1109/Tcsii.2015.2415653  0.435
2014 Kavala A, Bae W, Kim S, Hong G, Chi H, Kim S, Jeong D. A PVT-compensated 2.2 to 3.0 GHz Digitally Controlled Oscillator for All-Digital PLL Jsts:Journal of Semiconductor Technology and Science. 14: 484-494. DOI: 10.5573/Jsts.2014.14.4.484  0.406
2014 Woo J, Lee H, Kim H, Jeong D, Kim S. 1.2 V 10-bit 75 MS/s Pipelined ADC With Phase-Dependent Gain-Transition CDS Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 22: 585-592. DOI: 10.1109/Tvlsi.2013.2251019  0.4
2014 Woo J, Kim T, Kim S. A comparator-based cyclic analog-to-digital converter with multi-level input tracking boosted preset voltage Analog Integrated Circuits and Signal Processing. 81: 729-739. DOI: 10.1007/S10470-014-0406-X  0.465
2013 Kim S, Woo J, Shin W, Hong G, Lee H, Lee H, Kim S. A 10-Mbps 0.8-pJ/bit Referenceless Clock and Data Recovery Circuit for Optically Controlled Neural Interface System Ieee Transactions On Circuits and Systems Ii: Express Briefs. 60: 6-10. DOI: 10.1109/Tcsii.2012.2234872  0.5
2013 Singh R, Hong G, Kim S. Bitline Techniques With Dual Dynamic Nodes for Low-Power Register Files Ieee Transactions On Circuits and Systems I: Regular Papers. 60: 965-974. DOI: 10.1109/Tcsi.2012.2220457  0.433
2013 Shin WY, Hong GM, Lee H, Han JD, Park KS, Lim DH, Kim S, Shim D, Chun JH, Jeong DK. 4-slot, 8-drop impedance-matched bidirectional multidrop DQ bus with a 4.8-Gb/s memory controller transceiver Ieee Transactions On Components, Packaging and Manufacturing Technology. 3: 858-869. DOI: 10.1109/Tcpmt.2012.2231727  0.346
2013 Shim D, Jeong H, Lee H, Rhee C, Jeong D, Kim S. A Process-Variation-Tolerant On-Chip CMOS Thermometer for Auto Temperature Compensated Self-Refresh of Low-Power Mobile DRAM Ieee Journal of Solid-State Circuits. 48: 2550-2557. DOI: 10.1109/Jssc.2013.2272338  0.372
2012 Kim H, Kim S, Hong Y. Frequency Dependency of Multi-layer OLED Current Density-voltage Shift and Its Application to Digitally-driven AMOLED Journal of the Optical Society of Korea. 16: 181-184. DOI: 10.3807/Josk.2012.16.2.181  0.346
2012 Singh R, Woo J, Lee H, Kim SY, Kim S. Power-Gating Noise Minimization by Three-Step Wake-Up Partitioning Ieee Transactions On Circuits and Systems I: Regular Papers. 59: 749-762. DOI: 10.1109/Tcsi.2011.2169889  0.403
2012 Singh R, Hong G, Kim M, Park J, Shin W, Kim S. Static-switching pulse domino: A switching-aware design technique for wide fan-in dynamic multiplexers Integration. 45: 253-262. DOI: 10.1016/J.Vlsi.2011.11.013  0.441
2011 Kim S, Lee H, Lee H, Woo J, Cheon J, Kim H, Park Y, Kim S. Optical Failure Analysis Technique in Deep Submicron CMOS Integrated Circuits Jsts:Journal of Semiconductor Technology and Science. 11: 302-308. DOI: 10.5573/Jsts.2011.11.4.302  0.329
2011 Singh R, Son JC, Cho U, Jung G, Kim MS, Lee H, Kim S. A static-switching pulse domino technique for statistical power reduction of wide fan-in dynamic gates Proceedings of the Acm Great Lakes Symposium On Vlsi, Glsvlsi. 127-132. DOI: 10.1145/1973009.1973036  0.321
2011 Shin D, Lee H, Kim S. Improving the Accuracy of Capacitance-to-Frequency Converter by Accumulating Residual Charges Ieee Transactions On Instrumentation and Measurement. 60: 3950-3955. DOI: 10.1109/Tim.2011.2147650  0.387
2011 Kim M, Lee H, Woo J, Xing N, Kim M, Kim S. A Low-Cost and Low-Power Time-to-Digital Converter Using Triple-Slope Time Stretching Ieee Transactions On Circuits and Systems Ii: Express Briefs. 58: 169-173. DOI: 10.1109/Tcsii.2011.2106353  0.466
2011 Shin D, Lee H, Kim S. A Delta–Sigma Interface Circuit for Capacitive Sensors With an Automatically Calibrated Zero Point Ieee Transactions On Circuits and Systems Ii: Express Briefs. 58: 90-94. DOI: 10.1109/Tcsii.2010.2104015  0.446
2011 Song H, Kim D, Oh D, Kim S, Jeong D. A 1.0–4.0-Gb/s All-Digital CDR With 1.0-ps Period Resolution DCO and Adaptive Proportional Gain Control Ieee Journal of Solid-State Circuits. 46: 424-434. DOI: 10.1109/Jssc.2010.2082272  0.468
2011 Kim S. RF energy harvesting techniques for wirelessly powered devices 2011 Ieee Mtt-S International Microwave Workshop Series On Intelligent Radio For Future Personal Terminals, Imws-Irfpt 2011. DOI: 10.1109/IMWS2.2011.6027184  0.331
2010 Lee H, Woo J, Nam H, Jin W, Jeong M, Kim Y, Shin J, Kim S. Charge Amplifier With an Enhanced Frequency Response for SPM-Based Data Storage Ieee Transactions On Circuits and Systems Ii: Express Briefs. 57: 691-695. DOI: 10.1109/Tcsii.2010.2056030  0.458
2010 Shin W, Kim M, Hong G, Kim S. A fast-acquisition PLL using split half-duty sampled feedforward loop filter Ieee Transactions On Consumer Electronics. 56: 1856-1859. DOI: 10.1109/Tce.2010.5606337  0.351
2010 Kao JC, Ma WH, Kim S, Papaefthymiou M. 2.07 GHz floating-point unit with resonant-clock precharge logic 2010 Ieee Asian Solid-State Circuits Conference, a-Sscc 2010. 213-216. DOI: 10.1109/ASSCC.2010.5716593  0.629
2010 Lee H, Woo J, Kim S. CMOS differential-capacitance-to-frequency converter utilising repetitive charge integration and charge conservation Electronics Letters. 46: 567. DOI: 10.1049/El.2010.3416  0.422
2010 Lee S, Lee H, Woo J, Kim S. Low-voltage bandgap reference with output-regulated current mirror in 90 nm CMOS Electronics Letters. 46: 976. DOI: 10.1049/El.2010.1546  0.357
2009 Lee H, Lee H, Woo J, Shin W, Kim S. A Low-Power Register File with Dual-VtDynamic Bit-Lines driven by CMOS Bootstrapped Circuit Jsts:Journal of Semiconductor Technology and Science. 9: 148-152. DOI: 10.5573/Jsts.2009.9.3.148  0.376
2009 Song H, Kim S, Jeong D. A Reduced-Swing Voltage-Mode Driver for Low-Power Multi-Gb/s Transmitters Jsts:Journal of Semiconductor Technology and Science. 9: 104-109. DOI: 10.5573/Jsts.2009.9.2.104  0.353
2009 Kim Y, Ahn H, Kim S, Jeong D. A High-Speed Range-Matching TCAM for Storage-Efficient Packet Classification Ieee Transactions On Circuits and Systems. 56: 1221-1230. DOI: 10.1109/Tcsi.2008.2008512  0.448
2009 Woo J, Shin D, Jeong D, Kim S. High-speed 10-bit LCD column driver with a split DAC and a class-AB output buffer Ieee Transactions On Consumer Electronics. 55: 1431-1438. DOI: 10.1109/Tce.2009.5278010  0.401
2008 Lee J, Kim S, Jeong D. A Combined Clock and Data Recovery Circuit with Adaptive Cancellation of Data-Dependent Jitter Jsts:Journal of Semiconductor Technology and Science. 8: 193-199. DOI: 10.5573/Jsts.2008.8.3.193  0.366
2008 Lee H, Lee H, Woo J, Shin W, Kim S. Power-Gating Structure with Virtual Power-Rail Monitoring Mechanism Jsts:Journal of Semiconductor Technology and Science. 8: 134-138. DOI: 10.5573/Jsts.2008.8.2.134  0.439
2008 Kim S, Choi CJ, Jeong D, Kosonocky SV, Park SB. Reducing Ground-Bounce Noise and Stabilizing the Data-Retention Voltage of Power-Gating Structures Ieee Transactions On Electron Devices. 55: 197-205. DOI: 10.1109/Ted.2007.911067  0.458
2007 Kim S, Kosonocky SV, Knebel DR, Stawiasz K, Papaefthymiou MC. A multi-mode power gating structure for low-voltage deep-submicron CMOS ICs Ieee Transactions On Circuits and Systems Ii: Express Briefs. 54: 586-590. DOI: 10.1109/Tcsii.2007.894428  0.676
2007 Jeong H, Yoo B, Han C, Lee S, Lee K, Kim S, Jeong D, Kim W. A 0.25- $\mu$ m CMOS 1.9-GHz PHS RF Transceiver With a 150-kHz Low-IF Architecture Ieee Journal of Solid-State Circuits. 42: 1318-1327. DOI: 10.1109/Jssc.2007.897132  0.443
2007 Sathe V, Papaefthymiou MC, Kosonocky SV, Kim S. On-chip synchronous communication between clock domains with quotient frequencies Electronics Letters. 43: 496-499. DOI: 10.1049/El:20070057  0.741
2007 Woo J, Jeong D, Kim S. Fast-locking CDR circuit with autonomously reconfigurable mechanism Electronics Letters. 43: 624. DOI: 10.1049/El:20070036  0.434
2005 Sathe V, Chueh JY, Kim J, Ziesler CH, Kim S, Papaefthymiou MC. Fast, efficient, recovering, and irreversible 2005 Computing Frontiers Conference. 407-413. DOI: 10.1145/1062261.1062330  0.746
2005 Kim S, Ziesler CH, Papaefthymiou MC. Charge-recovery computing on silicon Ieee Transactions On Computers. 54: 651-659. DOI: 10.1109/Tc.2005.91  0.791
2004 Ahn JS, Jeong DK, Kim S. Fast three-dimensional programmable two-selector Electronics Letters. 40: 1098-1100. DOI: 10.1049/El:20045935  0.325
2003 Plouchart J, Zamdmer N, Kim J, Sherony M, Tan Y, Ray A, Talbi M, Wagner LF, Wu K, Lustig NE, Narasimha S, O'Neil P, Phan N, Rohn M, Strom J, ... ... Kim S, et al. Application of an SOI 0.12-µm CMOS technology to SoCs with low-power and high-frequency circuits Ibm Journal of Research and Development. 47: 611-629. DOI: 10.1147/Rd.475.0611  0.382
2003 Kim S, Ziesler CH, Papaefthymiou MC. Fine-grain real-time reconfigurable pipelining Ibm Journal of Research and Development. 47: 599-609. DOI: 10.1147/Rd.475.0599  0.765
2003 Kosonocky SV, Bhavnagarwala AJ, Chin K, Gristede GD, Haen A, Hwang W, Ketchen MB, Kim S, Knebel DR, Warren KW, Zyuban V. Low-power circuits and technology for wireless digital systems Ibm Journal of Research and Development. 47: 283-298. DOI: 10.1147/Rd.472.0283  0.453
2003 Kim S, Ziesler CH, Papaefthymiou MC. A true single-phase energy-recovery multiplier Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 11: 194-207. DOI: 10.1109/Tvlsi.2003.810795  0.773
2003 Ziesler CH, Kim J, Papaefthymiou MC, Kim S. Energy recovery design for low-power ASICs Proceedings - Ieee International Soc Conference, Socc 2003. 424-427. DOI: 10.1109/SOC.2003.1241561  0.799
2002 Hong S, Kim S, Stark WE. Low-power application-specific parallel array multiplier design for DSP applications Vlsi Design. 14: 287-298. DOI: 10.1080/10655140290011087  0.474
2001 Kim S, Ziesler CI, Papaefthymiou MC. Design, verification, and test of a true single-phase 8-bit adiabatic multiplier Proceedings - 2001 Conference On Advanced Research in Vlsi, Arvlsi 2001. 42-58. DOI: 10.1109/ARVLSI.2001.915549  0.701
2001 Kim S, Papaefthymiou MC. True single-phase adiabatic circuitry Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 9: 52-63. DOI: 10.1109/92.920819  0.716
2000 Kim S, Papaefthymiou MC. Reconfigurable low energy multiplier for multimedia system design Proceedings - Ieee Computer Society Workshop On Vlsi 2000: System Design For a System-On-Chip Era, Iwv 2000. 129-134. DOI: 10.1109/IWV.2000.844541  0.671
Show low-probability matches.