Yoonmyung Lee, Ph.D. - Publications

Affiliations: 
2012 University of Michigan, Ann Arbor, Ann Arbor, MI 
Area:
Electronics and Electrical Engineering

51 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Jeon J, Kim MJ, Shin G, Lee M, Kim YJ, Kim B, Lee Y, Cho JH, Lee S. Functionalized Organic Material Platform for Realization of Ternary Logic Circuit. Acs Applied Materials & Interfaces. PMID 31913001 DOI: 10.1021/Acsami.9B18772  0.319
2020 Khan MB, Saif H, Lee Y. A Piezoelectric Harvesting Interface with Capacitive Partial Electric Charge Extraction for Energy Harvesting from Irregular High-Voltage Input Energies. 13: 1939. DOI: 10.3390/En13081939  0.431
2020 Lee K, Kim H, Yoon J, Oh H, Park J, Park B, Park H, Lee Y. An Asynchronous Boost Converter With Time-Based Dual-Mode Control for Wide Load Range and High Efficiency in SSD Applications Ieee Transactions On Industrial Electronics. 67: 10520-10530. DOI: 10.1109/Tie.2019.2962405  0.379
2020 Gi H, Park J, Yoon Y, Jung S, Kim SJ, Lee Y. A Soft-Charging-Based SC DC-DC Boost Converter With Conversion-Ratio-Insensitive High Efficiency for Energy Harvesting in Miniature Sensor Systems Ieee Transactions On Circuits and Systems I-Regular Papers. 1-12. DOI: 10.1109/Tcsi.2020.2991479  0.39
2020 Lee Y, Shin G, Lee Y. A Fully Static True-Single-Phase-Clocked Dual-Edge-Triggered Flip-Flop for Near-Threshold Voltage Operation in IoT Applications Ieee Access. 8: 40232-40245. DOI: 10.1109/Access.2020.2976773  0.45
2019 Saif H, Khan MB, Lee J, Lee K, Lee Y. A High-Voltage Energy-Harvesting Interface for Irregular Kinetic Energy Harvesting in IoT Systems with 1365% Improvement Using All-NMOS Power Switches and Ultra-low Quiescent Current Controller. Sensors (Basel, Switzerland). 19. PMID 31450636 DOI: 10.3390/S19173685  0.483
2019 Park J, Ko W, Kang D, Lee Y, Chun J. An Output Capacitor-Less Low-Dropout Regulator with 0–100 mA Wide Load Current Range Energies. 12: 211. DOI: 10.3390/En12020211  0.325
2019 Lee Y, Lee Y. A PVT variation-tolerant static single-phase clocked dual-edge triggered flip-flop for aggressive voltage scaling Ieice Electronics Express. 16: 20190528-20190528. DOI: 10.1587/Elex.16.20190528  0.312
2019 Khan MB, Kim DH, Han JH, Saif H, Lee H, Lee Y, Kim M, Jang E, Hong SK, Joe DJ, Lee T, Kim T, Lee KJ, Lee Y. Performance improvement of flexible piezoelectric energy harvester for irregular human motion with energy extraction enhancement circuit Nano Energy. 58: 211-219. DOI: 10.1016/J.Nanoen.2019.01.049  0.377
2019 Lim J, Shim J, Kang B, Shin G, Kim H, Andreev M, Jung K, Kim K, Choi J, Lee Y, Park J. Double Negative Differential Transconductance Characteristic: From Device to Circuit Application toward Quaternary Inverter Advanced Functional Materials. 29: 1905540. DOI: 10.1002/Adfm.201905540  0.306
2018 Saif H, Lee Y, Lee H, Kim M, Khan M, Chun J, Lee Y. A Wide Load Current and Voltage Range Switched Capacitor DC–DC Converter with Load Dependent Configurability for Dynamic Voltage Implementation in Miniature Sensors Energies. 11: 3092. DOI: 10.3390/En11113092  0.432
2018 Jung J, Kim I, Kim S, Lee Y, Chun J. A 1.08-nW/kHz 13.2-ppm/°C Self-Biased Timer Using Temperature-Insensitive Resistive Current Ieee Journal of Solid-State Circuits. 53: 2311-2318. DOI: 10.1109/Jssc.2018.2824307  0.371
2018 Kim S, Kim S, Jin X, Lee Y, Chun J. A 21-Gb/s Dual-Channel Voltage-Mode Transmitter With Stacked NRZ and PAM4 Drivers Ieee Access. 6: 59761-59767. DOI: 10.1109/Access.2018.2874630  0.389
2017 Lee I, Bang S, Kim Y, Kim G, Sylvester D, Blaauw D, Lee Y. A Wire-overhead-free Reset Propagation Scheme for Millimeter-scale Sensor Systems Journal of Semiconductor Technology and Science. 17: 524-533. DOI: 10.5573/Jsts.2017.17.4.524  0.502
2017 Wu X, Shi Y, Jeloka S, Yang K, Lee I, Lee Y, Sylvester D, Blaauw D. A 20-pW Discontinuous Switched-Capacitor Energy Harvester for Smart Sensor Applications Ieee Journal of Solid-State Circuits. 52: 972-984. DOI: 10.1109/Jssc.2016.2645741  0.617
2016 Lee I, Kuo Y, Pannuto P, Kim G, Foo Z, Kempke B, Jeong S, Kim Y, Dutta P, Blaauw D, Lee Y. MBus: A Fully Synthesizable Low-power Portable Interconnect Bus for Millimeter-scale Sensor Systems Jsts:Journal of Semiconductor Technology and Science. 16: 745-753. DOI: 10.5573/Jsts.2016.16.6.745  0.639
2016 Jang I, Lee Y, Kim S, Kim J. Power-Performance Tradeoff Analysis of CML-Based High-Speed Transmitter Designs Using Circuit-Level Optimization Ieee Transactions On Circuits and Systems I: Regular Papers. 63: 540-550. DOI: 10.1109/Tcsi.2016.2528481  0.447
2016 Pannuto P, Lee Y, Kuo YS, Foo ZY, Kempke B, Kim G, Dreslinski RG, Blaauw D, Dutta P. MBus: A System Integration Bus for the Modular Microscale Computing Class Ieee Micro. 36: 60-70. DOI: 10.1109/Mm.2016.41  0.619
2016 Lee I, Lee Y, Sylvester D, Blaauw D. Battery Voltage Supervisors for Miniature IoT Systems Ieee Journal of Solid-State Circuits. 51: 2743-2756. DOI: 10.1109/Jssc.2016.2600565  0.598
2016 Lee Y, Blaauw D, Sylvester D. Ultralow Power Circuit Design for Wireless Sensor Nodes for Structural Health Monitoring Proceedings of the Ieee. 104: 1529-1546. DOI: 10.1109/JPROC.2016.2547946  0.532
2016 Dreslinski RG, Fick D, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Mudge T, Sylvester D, Blaauw D. Centip3De: A 64-core, 3D stacked, near-threshold system 2012 Ieee Hot Chips 24 Symposium, Hcs 2012. DOI: 10.1109/HOTCHIPS.2012.7476490  0.531
2015 Kim H, Kim G, Lee Y, Foo Z, Sylvester D, Blaauw D, Wentzloff D. A 10.6mm(3) Fully-Integrated, Wireless Sensor Node with 8GHz UWB Transmitter. Symposium On Vlsi Circuits : [Proceedings]. Symposium On Vlsi Circuits. 2015: C202-C203. PMID 26855848 DOI: 10.1109/VLSIC.2015.7231258  0.498
2015 Pannuto P, Lee Y, Kuo YS, Foo Z, Kempke B, Kim G, Dreslinski RG, Blaauw D, Dutta P. MBus: An Ultra-Low Power Interconnect Bus for Next Generation Nanopower Systems. Proceedings / Annual International Symposium On Computer Architecture. International Symposium On Computer Architecture. 2015: 629-641. PMID 26855555 DOI: 10.1145/2749469.2750376  0.579
2015 Lee I, Kim G, Bang S, Wolfe A, Bell R, Jeong S, Kim Y, Kagan J, Arias-Thode M, Chadwick B, Sylvester D, Blaauw D, Lee Y. System-On-Mud: Ultra-Low Power Oceanic Sensing Platform Powered by Small-Scale Benthic Microbial Fuel Cells Ieee Transactions On Circuits and Systems I: Regular Papers. 62: 1126-1135. DOI: 10.1109/Tcsi.2015.2390559  0.614
2015 Oh S, Lee Y, Wang J, Foo Z, Kim Y, Jung W, Li Z, Blaauw D, Sylvester D. A Dual-Slope Capacitance-to-Digital Converter Integrated in an Implantable Pressure-Sensing System Ieee Journal of Solid-State Circuits. 50: 1581-1591. DOI: 10.1109/Jssc.2015.2435736  0.607
2014 Kim G, Lee Y, Foo Z, Pannuto P, Kuo YS, Kempke B, Ghaed MH, Bang S, Lee I, Kim Y, Jeong S, Dutta P, Sylvester D, Blaauw D. A millimeter-scale wireless imaging system with continuous motion detection and energy harvesting Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. DOI: 10.1109/VLSIC.2014.6858425  0.504
2014 Lee I, Lee Y, Sylvester D, Blaauw D. Low power battery supervisory circuit with adaptive battery health monitor Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. DOI: 10.1109/VLSIC.2014.6858363  0.558
2014 Chen YP, Jeon D, Lee Y, Kim Y, Foo Z, Lee I, Langhals NB, Kruger G, Oral H, Berenfeld O, Zhang Z, Blaauw D, Sylvester D. An Injectable 64 nW ECG Mixed-Signal SoC in 65 nm for Arrhythmia Monitoring Ieee Journal of Solid-State Circuits. 50: 375-390. DOI: 10.1109/Jssc.2014.2364036  0.533
2014 Jung W, Oh S, Bang S, Lee Y, Foo Z, Kim G, Zhang Y, Sylvester D, Blaauw D. An Ultra-Low Power Fully Integrated Energy Harvester Based on Self-Oscillating Switched-Capacitor Voltage Doubler Ieee Journal of Solid-State Circuits. 49: 2800-2811. DOI: 10.1109/Jssc.2014.2346788  0.632
2014 Jeong S, Foo Z, Lee Y, Sim J, Blaauw D, Sylvester D. A Fully-Integrated 71 nW CMOS Temperature Sensor for Low Power Wireless Sensor Nodes Ieee Journal of Solid-State Circuits. 49: 1682-1693. DOI: 10.1109/Jssc.2014.2325574  0.59
2014 Jeon D, Chen YP, Lee Y, Kim Y, Foo Z, Kruger G, Oral H, Berenfeld O, Zhang Z, Blaauw D, Sylvester D. 24.3 An implantable 64nW ECG-monitoring mixed-signal SoC for arrhythmia diagnosis Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 57: 416-417. DOI: 10.1109/ISSCC.2014.6757494  0.349
2014 Yang K, Fick D, Henry MB, Lee Y, Blaauw D, Sylvester D. 16.3 A 23Mb/s 23pJ/b fully synthesized true-random-number generator in 28nm and 65nm CMOS Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 57: 280-281. DOI: 10.1109/ISSCC.2014.6757434  0.523
2014 Kim G, Wolfe A, Bell R, Bang S, Lee Y, Lee I, Kim Y, Hsu L, Kagan J, Arias-Thode M, Chadwick B, Sylvester D, Blaauw D. Chip-on-mud: Ultra-low power ARM-based oceanic sensing system powered by small-scale benthic microbial fuel cells Proceedings - Ieee International Symposium On Circuits and Systems. 1985-1988. DOI: 10.1109/ISCAS.2014.6865552  0.534
2014 Teran A, Dejarld M, Hwang J, Lim W, Wong J, Blaauw D, Lee Y, Millunchick J, Phillips J. Indoor photovoltaic energy harvesting for mm-scale systems Device Research Conference - Conference Digest, Drc. 251-252. DOI: 10.1109/DRC.2014.6872392  0.441
2014 Lee I, Kim Y, Bang S, Kim G, Ha H, Chen YP, Jeon D, Jeong S, Jung W, Ghaed MH, Foo Z, Lee Y, Sim JY, Sylvester D, Blaauw D. Circuit techniques for miniaturized biomedical sensors Proceedings of the Ieee 2014 Custom Integrated Circuits Conference, Cicc 2014. DOI: 10.1109/CICC.2014.6946070  0.519
2013 Lee Y, Yoon D, Kim Y, Blaauw D, Sylvester D. Circuit and system design guidelines for ultra-low power sensor nodes Ipsj Transactions On System Lsi Design Methodology. 6: 17-26. DOI: 10.2197/Ipsjtsldm.6.17  0.596
2013 Dreslinski RG, Fick D, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Sylvester D, Blaauw D, Mudge T. Centip3De: A many-core prototype exploring 3d integration and near-threshold computing Communications of the Acm. 56: 97-104. DOI: 10.1145/2524713.2524725  0.738
2013 Lee Y, Kim D, Cai J, Lauer I, Chang L, Koester SJ, Blaauw D, Sylvester D. Low-Power Circuit Analysis and Design Based on Heterojunction Tunneling Transistors (HETTs) Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 21: 1632-1643. DOI: 10.1109/Tvlsi.2012.2213103  0.614
2013 Lee Y, Seok M, Hanson S, Sylvester D, Blaauw D. Achieving ultralow standby power with an efficient SCCMOS bias generator Ieee Transactions On Circuits and Systems Ii: Express Briefs. 60: 842-846. DOI: 10.1109/Tcsii.2013.2281919  0.626
2013 Ghaed MH, Chen G, Haque RU, Wieckowski M, Kim Y, Kim G, Lee Y, Lee I, Fick D, Kim D, Seok M, Wise KD, Blaauw D, Sylvester D. Circuits for a cubic-millimeter energy-autonomous wireless intraocular pressure monitor Ieee Transactions On Circuits and Systems I: Regular Papers. 60: 3152-3162. DOI: 10.1109/Tcsi.2013.2265973  0.639
2013 Dreslinski RG, Fick D, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Sylvester D, Blaauw D, Mudge T. Centip3De: A 64-Core, 3D stacked near-threshold system Ieee Micro. 33: 8-16. DOI: 10.1109/Mm.2013.4  0.725
2013 Lee Y, Giridhar B, Foo Z, Sylvester D, Blaauw DB. A Sub-nW Multi-stage Temperature Compensated Timer for Ultra-Low-Power Sensor Nodes Ieee Journal of Solid-State Circuits. 48: 2511-2521. DOI: 10.1109/Jssc.2013.2275660  0.596
2013 Fick D, Dreslinski RG, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Mudge T, Blaauw D, Sylvester D. Centip3De: A cluster-based NTC architecture with 64 ARM cortex-M3 cores in 3D stacked 130 nm CMOS Ieee Journal of Solid-State Circuits. 48: 104-117. DOI: 10.1109/Jssc.2012.2222814  0.727
2013 Lee Y, Bang S, Lee I, Kim Y, Kim G, Ghaed MH, Pannuto P, Dutta P, Sylvester D, Blaauw D. A modular 1 3 die-stacked sensing platform with low power I 2C inter-die communication and multi-modal energy harvesting Ieee Journal of Solid-State Circuits. 48: 229-243. DOI: 10.1109/Jssc.2012.2221233  0.632
2012 Fick D, Dreslinski RG, Giridhar B, Kim G, Seo S, Fojtik M, Satpathy S, Lee Y, Kim D, Liu N, Wieckowski M, Chen G, Mudge T, Sylvester D, Blaauw D. Centip3De: A 3930DMIPS/W configurable near-threshold 3D stacked system with 64 ARM Cortex-M3 cores Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 55: 190-191. DOI: 10.1109/ISSCC.2012.6176970  0.422
2012 Kim Y, Lee Y, Sylvester D, Blaauw D. SLC: Split-control Level Converter for dense and stable wide-range voltage conversion European Solid-State Circuits Conference. 478-481. DOI: 10.1109/ESSCIRC.2012.6341359  0.562
2012 Lee Y, Sylvester D, Blaauw D. Circuits for ultra-low power millimeter-scale sensor nodes Conference Record - Asilomar Conference On Signals, Systems and Computers. 752-756. DOI: 10.1109/ACSSC.2012.6489113  0.58
2011 Lee Y, Sylvester D, Blaauw D. Synchronization of ultra-low power wireless sensor nodes Midwest Symposium On Circuits and Systems. DOI: 10.1109/MWSCAS.2011.6026442  0.565
2009 Hanson S, Seok M, Lin YS, Foo Z, Kim D, Lee Y, Liu N, Sylvester D, Blaauw Dr. D. A low-voltage processor for sensing applications with picowatt standby mode Ieee Journal of Solid-State Circuits. 44: 1145-1155. DOI: 10.1109/Jssc.2009.2014205  0.639
2008 Seok M, Hanson S, Lin YS, Foo Z, Kim D, Lee Y, Liu N, Sylvester D, Blaauw D. The phoenix processor: A 30pW platform for sensor applications Ieee Symposium On Vlsi Circuits, Digest of Technical Papers. 178-179. DOI: 10.1109/VLSIC.2008.4586001  0.488
2008 Lee Y, Seok M, Hanson S, Blaauw D, Sylvester D. Standby power reduction techniques for ultra-low power processors Esscirc 2008 - Proceedings of the 34th European Solid-State Circuits Conference. 186-189. DOI: 10.1109/ESSCIRC.2008.4681823  0.575
Show low-probability matches.