Yannis Tsividis

Affiliations: 
Electrical Engineering Columbia University, New York, NY 
Google:
"Yannis Tsividis"

Parents

Sign in to add mentor
Paul R. Gray grad student 1974-1976 UC Berkeley

Children

Sign in to add trainee
Mihai Banu grad student 1983 Columbia
David Vallancourt grad student 1987 Columbia
John Khoury grad student 1988 Columbia
Shanthi Pavan grad student 1999 Columbia
Nagendra Krishnapura grad student 2000 Columbia
Georgios Palaskas grad student 2003 Columbia
Sanjeev Ranganathan grad student 2004 Columbia
Glenn E. Cowan grad student 2005 Columbia
Shaorui Li grad student 2005 Columbia
Mehmet T. Ozgun grad student 2005 Columbia
Nebojsa Stanic grad student 2007 Columbia
Atsushi Yoshizawa grad student 2007 Columbia
Bob Schell grad student 2008 Columbia
Mariya Kurchuk grad student 2011 Columbia
Colin Weltin-Wu grad student 2012 Columbia
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Patil S, Rao SG, Chen Y, et al. (2019) Signal Encoding and Processing in Continuous Time Using a Cascade of Digital Delays Ieee Transactions On Circuits and Systems I-Regular Papers. 66: 1017-1030
Tsividis Y. (2018) Signal-to-Noise Ratio, Dynamic Range, and Power Dissipation: Paying Attention to Their Interrelation Can Greatly Benefit Analog Circuit Design Ieee Solid-State Circuits Magazine. 10: 60-69
Chen Y, Zhang X, Lian Y, et al. (2018) A Continuous-Time Digital IIR Filter With Signal-Derived Timing and Fully Agile Power Consumption Ieee Journal of Solid-State Circuits. 53: 418-430
Huang Y, Guo N, Seok M, et al. (2017) Analog Computing in a Modern Context: A Linear Algebra Accelerator Case Study Ieee Micro. 37: 30-38
Guo N, Huang Y, Mai T, et al. (2016) Energy-Efficient Hybrid Analog/Digital Approximate Computation in Continuous Time Ieee Journal of Solid-State Circuits
Patil S, Ratiu A, Morche D, et al. (2016) A 3–10 fJ/conv-step Error-Shaping Alias-Free Continuous-Time ADC Ieee Journal of Solid-State Circuits
Vezyrtzis C, Tsividis Y, Nowick SM. (2015) Improving the Energy Efficiency of Pipelined Delay Lines Through Adaptive Granularity Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 23: 2009-2022
Martinez-Nuevo P, Patil S, Tsividis Y. (2015) Derivative level-crossing sampling Ieee Transactions On Circuits and Systems Ii: Express Briefs. 62: 11-15
Tsividis Y. (2014) The book Ieee Solid-State Circuits Magazine. 6: 37-38
Vezyrtzis C, Jiang W, Nowick SM, et al. (2014) A flexible, event-driven digital filter with frequency response independent of input sample rate Ieee Journal of Solid-State Circuits. 49: 2292-2304
See more...