Amir H. Ajami, Ph.D.

Affiliations: 
2003 University of Southern California, Los Angeles, CA, United States 
Area:
Electronics and Electrical Engineering
Google:
"Amir Ajami"

Parents

Sign in to add mentor
Massoud Pedram grad student 2003 USC
 (Effects of non-uniform substrate temperature in high-performance integrated circuits: Modeling, analysis, and implications for signal integrity and interconnect performance optimization.)
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Abbaspour S, Pedram M, Ajami A, et al. (2006) Fast interconnect and gate timing analysis for performance optimization Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 14: 1383-1388
Fatemi H, Abbaspour S, Pedram M, et al. (2006) SACI: Statistical static timing analysis of coupled interconnects Proceedings of the Acm Great Lakes Symposium On Vlsi, Glsvlsi. 2006: 241-246
Ajami AH, Banerjee K, Pedram M. (2005) Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 24: 849-860
Nazarian S, Pedram M, Tuncer E, et al. (2005) Modeling and propagation of noisy waveforms in static timing analysis Proceedings -Design, Automation and Test in Europe, Date '05. 776-777
Ajami AH, Banerjee K, Pedram M. (2005) Scaling analysis of on-chip power grid voltage variations in nanometer scale ULSI Analog Integrated Circuits and Signal Processing. 42: 277-290
Ajami AH, Banerjee K, Mehrotra A, et al. (2003) Analysis of IR-drop scaling with implications for deep submicron P/G network designs Proceedings - International Symposium On Quality Electronic Design, Isqed. 2003: 35-40
Ajami AH, Pedram M. (2001) Post-layout timing-driven cell placement using an accurate net length model with movable Steiner points Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 2001: 595-600
Banerjee K, Pedram M, Ajami AH. (2001) Analysis and optimization of thermal issues in high-performance VLSI Proceedings of the International Symposium On Physical Design. 230-237
Ajami AH, Banerjee K, Pedram M. (2001) Analysis of substrate thermal gradient effects on optimal buffer insertion Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers. 44-48
Ajami AH, Pedram M, Banerjee K. (2001) Effects of non-uniform substrate temperature on the clock signal integrity in high performance designs Proceedings of the Custom Integrated Circuits Conference. 233-236
See more...