Soroush Abbaspour, Ph.D.

Affiliations: 
2005 University of Southern California, Los Angeles, CA, United States 
Area:
Electronics and Electrical Engineering
Google:
"Soroush Abbaspour"

Parents

Sign in to add mentor
Massoud Pedram grad student 2005 USC
 (Statistical timing analysis at the gate level in UDSM technologies.)
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Sinha D, Rubin A, Visweswariah C, et al. (2009) Feasible aggressor-set identification under constraints for maximum coupling noise Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 28: 1096-1100
Ling DD, Visweswariah C, Feldmann P, et al. (2009) A moment-based effective characterization waveform for static timing analysis Proceedings - Design Automation Conference. 19-24
Hatami S, Feldmann P, Abbaspour S, et al. (2009) Efficient compression and handling of current source model library waveforms Proceedings -Design, Automation and Test in Europe, Date. 1178-1183
Feldmann P, Abbaspour S. (2008) Towards a more physical approach to gate modeling for timing, noise, and power Proceedings - Design Automation Conference. 453-455
Feldmann P, Abbaspour S, Sinha D, et al. (2008) Driver waveform computation for timing analysis with Multiple Voltage Threshold driver models Proceedings - Design Automation Conference. 425-428
Abbaspour S, Fatemi H, Pedram M. (2007) Parameterized non-Gaussian variational gate timing analysis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 26: 1495-1508
Zolotov V, Xiong J, Abbaspour S, et al. (2007) Compact modeling of variational waveforms Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 705-712
Abbaspour S, Pedram M, Ajami A, et al. (2006) Fast interconnect and gate timing analysis for performance optimization Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 14: 1383-1388
Abbaspour S, Fatemi H, Pedram M. (2006) Parameterized block-based non-gaussian statistical gate timing analysis Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 2006: 947-952
Abbaspour S, Fatemi H, Pedram M. (2006) Non-gaussian statistical interconnect timing analysis Proceedings -Design, Automation and Test in Europe, Date. 1
See more...