Hanif Fatemi, Ph.D.

Affiliations: 
2007 Computer Engineering: Doctor of Philosophy University of Southern California, Los Angeles, CA, United States 
Area:
Electronics and Electrical Engineering
Google:
"Hanif Fatemi"

Parents

Sign in to add mentor
Massoud Pedram grad student 2007 USC
 (Timing and power analysis of CMOS logic cells under noisy inputs.)
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Fatemi H, Tehrani P. (2013) Crosstalk timing windows overlap in statistical static timing analysis Proceedings - International Symposium On Quality Electronic Design, Isqed. 245-251
Nazarian S, Fatemi H, Pedram M. (2011) Accurate timing and noise analysis of combinational and sequential logic cells using current source modeling Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 19: 92-103
Abbaspour S, Fatemi H, Pedram M. (2007) Parameterized non-Gaussian variational gate timing analysis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 26: 1495-1508
Fatemi H, Nazarian S, Pedram M. (2007) A current-based method for short circuit power calculation under noisy input waveforms Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 774-779
Fatemi H, Nazarian S, Pedram M. (2006) Statistical logic cell delay analysis using a current-based model Proceedings - Design Automation Conference. 253-256
Abbaspour S, Fatemi H, Pedram M. (2006) Parameterized block-based non-gaussian statistical gate timing analysis Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 2006: 947-952
Abbaspour S, Fatemi H, Pedram M. (2006) Non-gaussian statistical interconnect timing analysis Proceedings -Design, Automation and Test in Europe, Date. 1
Fatemi H, Abbaspour S, Pedram M, et al. (2006) SACI: Statistical static timing analysis of coupled interconnects Proceedings of the Acm Great Lakes Symposium On Vlsi, Glsvlsi. 2006: 241-246
Iranli A, Fatemi H, Pedram M. (2005) Lifetime-aware intrusion detection under safeguarding constraints 2005 4th International Symposium On Information Processing in Sensor Networks, Ipsn 2005. 2005: 189-194
Abbaspour S, Fatemi H, Pedram M. (2005) VGTA: Variation-aware gate timing analysis Proceedings - Ieee International Conference On Computer Design: Vlsi in Computers and Processors. 2005: 351-356
See more...