Dean Tullsen

Affiliations: 
Computer Science and Engineering University of California, San Diego, La Jolla, CA 
Area:
Computer Engineering, Computer Science
Google:
"Dean Tullsen"

Children

Sign in to add trainee
John S. Seng grad student 2003 UCSD
Jamison D. Collins grad student 2004 UCSD
Eric Tune grad student 2004 UCSD
Jeffery A. Brown grad student 2010 UCSD
Matthew D. DeVuyst grad student 2011 UCSD
Leonard E. Porter grad student 2011 UCSD
Md. Kamruzzaman grad student 2013 UCSD
Hung-Wei Tseng grad student 2014 UCSD
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Zhou M, Prodromou A, Wang R, et al. (2019) Temperature-Aware DRAM Cache Management -Relaxing Thermal Constraints in 3D Systems Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 1-1
Herrero E, Gonzalez J, Canal R, et al. (2013) Thread Row Buffers: Improving Memory Performance Isolation and Throughput in Multiprogrammed Environments Ieee Transactions On Computers. 62: 1879-1892
Tullsen D. (2010) Session details: Memory subsystems Acm Sigarch Computer Architecture News. 38
Jouppi NP, Kumar R, Tullsen D. (2009) Introduction to the special issue on the 2008 workshop on design, analysis, and simulation of chip multiprocessors (dasCMP'08) Acm Sigarch Computer Architecture News. 37: 1-1
Strong R, Mudigonda J, Mogul JC, et al. (2009) Fast switching of threads between cores Operating Systems Review (Acm). 43: 35-45
Emer J, Tullsen D. (2009) Top Picks from the 2008 Computer Architecture Conferences Ieee Micro. 29: 6-9
Jouppi NP, Kumar R, Tullsen D. (2008) Introduction to the special issue on the 2007 workshop on design, analysis, and simulation of chip multiprocessors (dasCMP'07) Acm Sigarch Computer Architecture News. 36: 1-1
Tullsen D, Kumar R, Jouppi NP. (2007) Introduction to the special issue on the 2006 workshop on design, analysis, and simulation of chip multiprocessors: (dasCMP'06) Acm Sigarch Computer Architecture News. 35: 2-2
Jouppi NP, Kumar R, Tullsen D. (2005) Introduction to the special issue on the 2005 workshop on design, analysis, and simulation of chip multiprocessors (dasCMP'05) Acm Sigarch Computer Architecture News. 33: 4-4
Kreaseck B, Tullsen D, Calder B. (2000) Limits of task-based parallelism in irregular applications Acm Sigarch Computer Architecture News. 28: 20-20
See more...