Vimal K. Kamineni, Ph.D.

Affiliations: 
2011 Nanoscale Science and Engineering-Nanoscale Science State University of New York, Albany, Albany, NY, United States 
Area:
Nanoscience, Materials Science Engineering, Condensed Matter Physics
Google:
"Vimal Kamineni"

Parents

Sign in to add mentor
Alain C. Diebold grad student 2011 SUNY Albany
 (Electron-Phonon Interactions and Quantum Confinement Effects on Optical Transitions in Nanoscale Silicon Films.)
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Carr A, Peethala B, Raymond M, et al. (2017) Impact of surface preparation for n-type Si:P and p-type SiGe:B semiconductors on low resistance silicide contacts Microelectronic Engineering. 173: 22-26
Dixit D, Green A, Hosler ER, et al. (2016) Optical critical dimension metrology for directed self-assembly assisted contact hole shrink Journal of Micro/ Nanolithography, Mems, and Moems. 15
Dixit D, O'Mullane S, Sunkoju S, et al. (2015) Silicon fin line edge roughness determination and sensitivity analysis by Mueller matrix spectroscopic ellipsometry based scatterometry Proceedings of Spie - the International Society For Optical Engineering. 9424
Dixit D, O'Mullane S, Sunkoju S, et al. (2015) Sensitivity analysis and line edge roughness determination of 28-nm pitch silicon fins using Mueller matrix spectroscopic ellipsometry-based optical critical dimension metrology Journal of Micro/ Nanolithography, Mems, and Moems. 14
Dixit DJ, Kamineni V, Farrell R, et al. (2015) Metrology for block copolymer directed self-assembly structures using Mueller matrix-based scatterometry Journal of Micro/ Nanolithography, Mems, and Moems. 14
Dixit D, Kamineni V, Farrell R, et al. (2014) Metrology for directed self-assembly block lithography using optical scatterometry Proceedings of Spie. 9050
Takamasu K, Okitou H, Takahashi S, et al. (2014) Sidewall roughness and line profile measurement of photoresist and finFET features by cross-section STEM and TEM image for reference metrology Proceedings of Spie. 9050
Settens C, Cordes A, Bunday B, et al. (2014) Assessment of critical dimension small-angle x-ray scattering measurement approaches for FinFET fabrication process monitoring Journal of Micro/ Nanolithography, Mems, and Moems. 13
Medikonda M, Muthinti GR, Fronheiser J, et al. (2014) Measurement of periodicity and strain in arrays of single crystal silicon and pseudomorphic Si1-xGex/Si fin structures using x-ray reciprocal space maps Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 32
Diebold AC, Medikonda M, Muthinti GR, et al. (2013) Fin stress and pitch measurement using X-ray diffraction reciprocal space maps and optical scatterometry Proceedings of Spie - the International Society For Optical Engineering. 8681
See more...