Ioannis Savidis, Ph.D.

Affiliations: 
2013 Hajim School of Engineering and Applied Sciences University of Rochester, Rochester, NY 
 2013- Electrical and Computer Engineering Drexel University, Philadelphia, PA, United States 
Area:
Electronics and Electrical Engineering, General Engineering
Google:
"Ioannis Savidis"
Cross-listing: Computer Science Tree

Parents

Sign in to add mentor
Eby G. Friedman grad student 2013 Rochester
 (Characterization and Modeling of TSV Based 3-D Integrated Circuits.)

Children

Sign in to add trainee
Divy Pathak grad student 2013- Drexel
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Juretus K, Savidis I. (2020) Characterization of In-Cone Logic Locking Resiliency Against the SAT Attack Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 39: 1607-1620
Hossain MS, Savidis I. (2020) Dynamic differential signaling based logic families for robust ultra-low power near-threshold computing Microelectronics Journal. 102: 104801
Hossain MS, Savidis I. (2020) Recycling of unused leakage current for energy efficient multi-voltage systems Microelectronics Journal. 101: 104782
Pathak D, Savidis I. (2018) On-Chip Power Supply Noise Suppression Through Hyperabrupt Junction Varactors Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 26: 2230-2240
Khavari Tavana M, Hajkazemi MH, Pathak D, et al. (2018) ElasticCore: A Dynamic Heterogeneous Platform With Joint Core and Voltage/Frequency Scaling Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 26: 249-261
Pathak D, Homayoun H, Savidis I. (2017) Smart Grid on Chip: Work Load-Balanced On-Chip Power Delivery Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 25: 2538-2551
Savidis I, Ciftcioglu B, Xu J, et al. (2016) Heterogeneous 3-D circuits: Integrating free-space optics with CMOS Microelectronics Journal. 50: 66-75
Savidis I, Vaisband B, Friedman EG. (2015) Experimental Analysis of Thermal Coupling in 3-D Integrated Circuits Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 23: 2077-2089
Pathak D, Savidis I. (2014) Run-time voltage detection circuit for 3-D IC power delivery International System On Chip Conference. 183-187
Pathak D, Savidis I. (2014) Power supply voltage detection and clamping circuit for 3-D integrated circuits 2014 Soi-3d-Subthreshold Microelectronics Technology Unified Conference, S3s 2014
See more...