Rob A. Rutenbar

Affiliations: 
Carnegie Mellon University, Pittsburgh, PA 
Area:
Electronics and Electrical Engineering, Computer Science
Google:
"Rob Rutenbar"
BETA: Related publications

Publications

You can help our author matching system! If you notice any publications incorrectly attributed to this author, please sign in and mark matches as correct or incorrect.

Ko GG, Rutenbar RA. (2018) Real-Time and Low-Power Streaming Source Separation Using Markov Random Field Acm Journal On Emerging Technologies in Computing Systems. 14: 17
Kim EP, Choi J, Shanbhag NR, et al. (2016) Error resilient and energy efficient MRF message-passing-based stereo matching Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 24: 897-908
Rutenbar RA. (2014) DAC at 50: The second 25 years Ieee Design and Test. 31: 32-39
Choi J, Rutenbar RA. (2013) Video-rate stereo matching using Markov random field TRW-S inference on a hybrid CPU+FPGA computing platform Acm/Sigda International Symposium On Field Programmable Gate Arrays - Fpga. 63-71
Zhang W, Balakrishnan K, Li X, et al. (2013) Efficient spatial pattern analysis for variation decomposition via robust sparse regression Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 32: 1072-1085
Bourke PJ, Yu K, Rutenbar RA. (2012) Mobile Speech Hardware: The Case for Custom Silicon Speech in Mobile and Pervasive Environments. 7-56
Zhang W, Li X, Liu F, et al. (2011) Virtual probe: A statistical framework for low-cost silicon characterization of nanoscale integrated circuits Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 30: 1814-1827
Singhee A, Rutenbar RA. (2010) Why quasi-Monte Carlo is better than Monte Carlo or Latin hypercube sampling for statistical circuit analysis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 29: 1763-1776
Wang J, Singhee A, Rutenbar RA, et al. (2010) Two fast methods for estimating the minimum standby supply voltage for large SRAMs Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 29: 1908-1920
Singhee A, Rutenbar RA. (2009) Statistical blockade: Very fast statistical simulation and modeling of rare circuit events and its application to memory design Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 28: 1176-1189
See more...