Gottlieb Oehrlein - Publications

Affiliations: 
Physics University of Maryland, College Park, College Park, MD 

212 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Li C, Hofmann T, Edinger K, Godyak V, Oehrlein GS. Etching of Si3N4 induced by electron beam plasma from hollow cathode plasma in a downstream reactive environment Journal of Vacuum Science & Technology B. 38: 032208. DOI: 10.1116/1.5143538  0.495
2020 Li C, Godyak V, Hofmann T, Edinger K, Oehrlein GS. Electron beam injection from a hollow cathode plasma into a downstream reactive environment: Characterization of secondary plasma production and Si3N4 and Si etching Journal of Vacuum Science & Technology A. 38: 033001. DOI: 10.1116/1.5143537  0.369
2020 Lin K, Li C, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Selective atomic layer etching of HfO2 over silicon by precursor and substrate-dependent selective deposition Journal of Vacuum Science & Technology A. 38: 032601. DOI: 10.1116/1.5143247  0.814
2020 Zhang S, Li Y, Knoll A, Oehrlein GS. Mechanistic aspects of plasma-enhanced catalytic methane decomposition by time-resolved operando diffuse reflectance infrared Fourier transform spectroscopy Journal of Physics D: Applied Physics. 53: 215201. DOI: 10.1088/1361-6463/Ab795B  0.824
2019 Luan P, Oehrlein GS. Characterization of Ultrathin Polymer Films Using p-polarized ATR-FTIR and Its Comparison with XPS. Langmuir : the Acs Journal of Surfaces and Colloids. PMID 30840470 DOI: 10.1021/Acs.Langmuir.9B00316  0.755
2019 Knoll AJ, Pranda A, Lee H, Oehrlein GS. Substrate temperature effect on migration behavior of fluorocarbon film precursors in high-aspect ratio structures Journal of Vacuum Science & Technology B. 37: 031802. DOI: 10.1116/1.5092969  0.793
2019 Luan P, Kondeti VSSK, Knoll AJ, Bruggeman PJ, Oehrlein GS. Effect of water vapor on plasma processing at atmospheric pressure: Polymer etching and surface modification by an Ar/H2O plasma jet Journal of Vacuum Science & Technology A. 37: 031305. DOI: 10.1116/1.5092272  0.852
2019 Knoll AJ, Zhang S, Lai M, Luan P, Oehrlein GS. Infrared studies of gas phase and surface processes of the enhancement of catalytic methane decomposition by low temperature plasma Journal of Physics D: Applied Physics. 52: 225201. DOI: 10.1088/1361-6463/Ab0C66  0.826
2019 Pranda MA, Murugesan BJ, Knoll AJ, Oehrlein GS, Stroka KM. Sensitivity of tumor versus normal cell migration and morphology to cold atmospheric plasma‐treated media in varying culture conditions Plasma Processes and Polymers. 17: 1900103. DOI: 10.1002/Ppap.201900103  0.714
2019 Pranda A, Gutierrez Razo SA, Fourkas JT, Oehrlein GS. Evolution of photoresist layer structure and surface morphology under fluorocarbon‐based plasma exposure Plasma Processes and Polymers. 16: 1900026. DOI: 10.1002/Ppap.201900026  0.838
2019 Corbella C, Pranda A, Portal S, los Arcos T, Grundmeier G, Oehrlein GS, Keudell A. Validation of etching model of polypropylene layers exposed to argon plasmas Plasma Processes and Polymers. 16: 1900019. DOI: 10.1002/Ppap.201900019  0.83
2019 Luan P, Bastarrachea LJ, Gilbert AR, Tikekar R, Oehrlein GS. Decontamination of raw produce by surface microdischarge and the evaluation of its damage to cellular components Plasma Processes and Polymers. 16: 1800193. DOI: 10.1002/Ppap.201800193  0.727
2018 Lin K, Li C, Engelmann S, Bruce RL, Joseph EA, Metzler D, Oehrlein GS. Achieving ultrahigh etching selectivity of SiO2 over Si3N4 and Si in atomic layer etching by exploiting chemistry of complex hydrofluorocarbon precursors Journal of Vacuum Science & Technology A. 36: 040601. DOI: 10.1116/1.5035291  0.812
2018 Pranda A, Gutierrez Razo SA, Tomova Z, Fourkas JT, Oehrlein GS. Role of the dense amorphous carbon layer in photoresist etching Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 36: 021304. DOI: 10.1116/1.5009640  0.829
2018 Oehrlein GS, Hamaguchi S. Foundations of low-temperature plasma enhanced materials synthesis and etching Plasma Sources Science and Technology. 27: 023001. DOI: 10.1088/1361-6595/aaa86c  0.333
2018 Luan P, Oehrlein GS. Stages of polymer transformation during remote plasma oxidation (RPO) at atmospheric pressure Journal of Physics D: Applied Physics. 51: 135201. DOI: 10.1088/1361-6463/AAAF60  0.744
2018 Knoll AJ, Luan P, Pranda A, Bruce RL, Oehrlein GS. Polymer etching by atmospheric-pressure plasma jet and surface micro-discharge sources: Activation energy analysis and etching directionality Plasma Processes and Polymers. 15: 1700217. DOI: 10.1002/Ppap.201700217  0.82
2017 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma. The Journal of Chemical Physics. 146: 052801. PMID 28178847 DOI: 10.1063/1.4961458  0.855
2017 Luan P, Knoll AJ, Bruggeman PJ, Oehrlein GS. Plasma–surface interaction at atmospheric pressure: A case study of polystyrene etching and surface modification by Ar/O2 plasma jet Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 35: 05C315. DOI: 10.1116/1.5000691  0.839
2017 Metzler D, Li C, Lai CS, Hudson EA, Oehrlein GS. Investigation of thin oxide layer removal from Si substrates using an SiO2atomic layer etching approach: the importance of the reactivity of the substrate Journal of Physics D: Applied Physics. 50: 254006. DOI: 10.1088/1361-6463/Aa71F1  0.622
2017 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma Journal of Chemical Physics. 146. DOI: 10.1063/1.4961458  0.859
2016 Kawakami M, Metzler D, Li C, Oehrlein GS. Effect of the chamber wall on fluorocarbon-assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma. Journal of Vacuum Science & Technology. a, Vacuum, Surfaces, and Films : An Official Journal of the American Vacuum Society. 34: 040603. PMID 27375342 DOI: 10.1116/1.4949260  0.725
2016 Bartis EAJ, Luan P, Knoll AJ, Graves DB, Seog J, Oehrlein GS. A comparative study of biomolecule and polymer surface modifications by a surface microdischarge Topical Issue: Recent Breakthroughs in Microplasma Science and Technology Kurt Becker, Jose Lopez, David Staack, Klaus-Dieter Weltmann and Wei Dong Zhu European Physical Journal D. 70. DOI: 10.1140/Epjd/E2015-60446-3  0.842
2016 Li C, Metzler D, Lai CS, Hudson EA, Oehrlein GS. Fluorocarbon based atomic layer etching of Si3N4 and etching selectivity of SiO2 over Si3N4 Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 34: 041307. DOI: 10.1116/1.4954961  0.722
2016 Metzler D, Weilnboeck F, Engelmann S, Bruce RL, Oehrlein GS. He plasma pretreatment of organic masking materials for performance improvement during pattern transfer by plasma etching Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. 34. DOI: 10.1116/1.4949274  0.828
2016 Li C, Gupta R, Pallem V, Oehrlein GS. Impact of hydrofluorocarbon molecular structure parameters on plasma etching of ultra-low-K dielectric Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4944609  0.47
2016 Metzler D, Li C, Engelmann S, Bruce RL, Joseph EA, Oehrlein GS. Fluorocarbon assisted atomic layer etching of SiO2 and Si using cyclic Ar/C4F8 and Ar/CHF3 plasma Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4935462  0.845
2016 Metzler D, Uppireddi K, Bruce RL, Miyazoe H, Zhu Y, Price W, Sikorski ES, Li C, Engelmann SU, Joseph EA, Oehrlein GS. Application of cyclic fluorocarbon/argon discharges to device patterning Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 34. DOI: 10.1116/1.4935460  0.804
2016 Bartis EAJ, Knoll AJ, Luan P, Seog J, Oehrlein GS. On the Interaction of Cold Atmospheric Pressure Plasma with Surfaces of Bio-molecules and Model Polymers Plasma Chemistry and Plasma Processing. 36: 121-149. DOI: 10.1007/S11090-015-9673-2  0.855
2016 Knoll AJ, Luan P, Bartis EAJ, Kondeti VSSK, Bruggeman PJ, Oehrlein GS. Cold Atmospheric Pressure Plasma VUV Interactions With Surfaces: Effect of Local Gas Environment and Source Design Plasma Processes and Polymers. 13: 1069-1079. DOI: 10.1002/Ppap.201600043  0.833
2016 Bartis EAJ, Luan P, Knoll AJ, Graves DB, Seog J, Oehrlein GS. Biodeactivation of lipopolysaccharide correlates with surface-bound NO3 after cold atmospheric plasma treatment Plasma Processes and Polymers. 13: 410-418. DOI: 10.1002/Ppap.201500072  0.845
2015 Bartis EA, Luan P, Knoll AJ, Hart C, Seog J, Oehrlein GS. Polystyrene as a model system to probe the impact of ambient gas chemistry on polymer surface modifications using remote atmospheric pressure plasma under well-controlled conditions. Biointerphases. 10: 029512. PMID 25930012 DOI: 10.1116/1.4919410  0.831
2015 Oehrlein GS, Metzler D, Li C. Atomic layer etching at the tipping point: An overview Ecs Journal of Solid State Science and Technology. 4: N5041-N5053. DOI: 10.1149/2.0061506Jss  0.694
2015 Metzler D, Weilnboeck F, Hernández SC, Walton SG, Bruce RL, Engelmann S, Salamanca-Riba L, Oehrlein GS. Formation of nanometer-thick delaminated amorphous carbon layer by two-step plasma processing of methacrylate-based polymer Journal of Vacuum Science and Technology B: Nanotechnology and Microelectronics. 33. DOI: 10.1116/1.4928493  0.853
2014 Fox-Lyon N, Oehrlein GS. Isotope effects on plasma species of Ar/H2/D2 plasmas Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 32. DOI: 10.1116/1.4889858  0.346
2014 Fox-Lyon N, Oehrlein GS, Godyak V. Effect of surface derived hydrocarbon impurities on Ar plasma properties Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 32. DOI: 10.1116/1.4867158  0.443
2014 Bartis EAJ, Barrett C, Chung TY, Ning N, Chu JW, Graves DB, Seog J, Oehrlein GS. Deactivation of lipopolysaccharide by Ar and H2 inductively coupled low-pressure plasma Journal of Physics D: Applied Physics. 47. DOI: 10.1088/0022-3727/47/4/045202  0.398
2014 Chang HW, Hsu CC, Ahmed M, Liu SY, Fang Y, Seog J, Oehrlein GS, Graves DB. Plasma flux-dependent lipid A deactivation Journal of Physics D: Applied Physics. 47. DOI: 10.1088/0022-3727/47/22/224015  0.347
2014 Knoll AJ, Luan P, Bartis EAJ, Hart C, Raitses Y, Oehrlein GS. Real time characterization of polymer surface modifications by an atmospheric-pressure plasma jet: Electrically coupled versus remote mode Applied Physics Letters. 105. DOI: 10.1063/1.4900551  0.855
2014 Fox-Lyon N, Metzler D, Oehrlein GS, Farber D, Lii T. Controlling asymmetric photoresist feature dimensions during plasma-assisted shrink Plasma Processes and Polymers. 11: 714-720. DOI: 10.1002/Ppap.201400035  0.655
2013 Fox-Lyon N, Knoll AJ, Franek J, Demidov V, Godyak V, Koepke M, Oehrlein GS. Determination of Ar metastable atom densities in Ar and Ar/H2 inductively coupled low-temperature plasmas Journal of Physics D: Applied Physics. 46. DOI: 10.1088/0022-3727/46/48/485202  0.798
2013 Bartis EAJ, Graves DB, Seog J, Oehrlein GS. Atmospheric pressure plasma treatment of lipopolysaccharide in a controlled environment Journal of Physics D: Applied Physics. 46. DOI: 10.1088/0022-3727/46/31/312002  0.421
2013 Vogli E, Metzler D, Oehrlein GS. Feasibility of atomic layer etching of polymer material based on sequential O2 exposure and Ar low-pressure plasma-etching Applied Physics Letters. 102. DOI: 10.1063/1.4812750  0.686
2013 Chung TY, Ning N, Chu JW, Graves DB, Bartis E, Joonil Seog, Oehrlein GS. Plasma deactivation of endotoxic biomolecules: Vacuum ultraviolet photon and radical beam effects on lipid A Plasma Processes and Polymers. 10: 167-180. DOI: 10.1002/Ppap.201200087  0.824
2012 Vogli E, Hoffmann F, Bartis E, Oehrlein GS, Tillmann W. Correlation between tribological properties, sp 2/sp 3-ratio and H-content of low-wear diamond-like carbon (DLC) layers Materials Science Forum. 706: 2596-2601. DOI: 10.4028/www.scientific.net/MSF.706-709.2596  0.798
2012 Weilnboeck F, Bartis E, Shachar S, Oehrlein GS, Farber D, Lii T, Lenox C. Differences in erosion mechanism and selectivity between Ti and TiN in fluorocarbon plasmas for dielectric etch Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 30. DOI: 10.1116/1.4736979  0.84
2012 Weilnboeck F, Kumar N, Oehrlein GS, Chung T, Graves D, Li M, Hudson EA, Benck EC. Real-time measurements of plasma photoresist modifications: The role of plasma vacuum ultraviolet radiation and ions Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 30: 031807. DOI: 10.1116/1.3697752  0.802
2012 Weilnboeck F, Bartis E, Shachar S, Oehrlein GS, Farber D, Lii T, Lenox C. Study of Ti etching and selectivity mechanism in fluorocarbon plasmas for dielectric etch Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 30. DOI: 10.1116/1.3690643  0.837
2012 Lin TC, Bruce RL, Oehrlein GS, Phaneuf RJ, Kan HC. Direct and quantitative evidence for buckling instability as a mechanism for roughening of polymer during plasma etching Applied Physics Letters. 100. DOI: 10.1063/1.4718940  0.704
2011 Bruce RL, Weilnboeck F, Lin T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG, Alizadeh A. On the absence of post-plasma etch surface and line edge roughness in vinylpyridine resists Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 29. DOI: 10.1116/1.3607604  0.866
2011 Oehrlein GS, Phaneuf RJ, Graves DB. Plasma-polymer interactions: A review of progress in understanding polymer resist mask durability during plasma etching for nanoscale fabrication Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 29: 0108011-01080135. DOI: 10.1116/1.3532949  0.485
2011 Weilnboeck F, Metzler D, Kumar N, Oehrlein GS, Bruce RL, Engelmann S, Fuller N. Characterization and mechanism of He plasma pretreatment of nanoscale polymer masks for improved pattern transfer fidelity Applied Physics Letters. 99. DOI: 10.1063/1.3671995  0.85
2011 Fox-Lyon N, Oehrlein GS, Ning N, Graves DB. Hydrogenation and surface density changes in hydrocarbon films during erosion using Ar/H2 plasmas Journal of Applied Physics. 110. DOI: 10.1063/1.3662953  0.399
2011 Chung TY, Graves DB, Weilnboeck F, Bruce RL, Oehrlein GS, Li M, Hudson EA. Ion and vacuum ultraviolet photon beam effects in 193nm photoresist surface roughening: The role of the adamantyl pendant group Plasma Processes and Polymers. 8: 1068-1079. DOI: 10.1002/Ppap.201100071  0.85
2011 Zhang X, Metting CJ, Briber RM, Weilnboeck F, Shin SH, Jones BG, Oehrlein GS. Poly(2-vinylnaphthalene)-block-poly(acrylic acid) block copolymer: Self-assembled pattern formation, alignment, and transfer into silicon via plasma etching Macromolecular Chemistry and Physics. 212: 1735-1741. DOI: 10.1002/Macp.201100232  0.76
2010 Kuo MS, Oehrlein GS. Surface and near-surface modifications of ultralow dielectric constant materials exposed to plasmas under sidewall-like conditions Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: 1104-1110. DOI: 10.1116/1.3499271  0.676
2010 Weilnboeck F, Bruce RL, Engelmann S, Oehrlein GS, Nest D, Chung TY, Graves D, Li M, Wang D, Andes C, Hudson EA. Photoresist modifications by plasma vacuum ultraviolet radiation: The role of polymer structure and plasma chemistry Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: 993-1004. DOI: 10.1116/1.3484249  0.852
2010 Kuo MS, Pal AR, Oehrlein GS, Hua X. Mechanistic study of ultralow k-compatible carbon dioxide in situ photoresist ashing processes. II. Interaction with preceding fluorocarbon plasma ultralow k etching processes Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: 961-967. DOI: 10.1116/1.3482353  0.728
2010 Kuo MS, Pal AR, Oehrlein GS, Lazzeri P, Anderle M. Mechanistic study of ultralow k-compatible carbon dioxide in situ photoresist ashing processes. I. Process performance and influence on ULK material modification Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: 952-960. DOI: 10.1116/1.3482343  0.569
2010 Bruce RL, Lin T, Phaneuf RJ, Oehrlein GS, Bell W, Long B, Willson CG. Molecular structure effects on dry etching behavior of Si-containing resists in oxygen plasma Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: 751-757. DOI: 10.1116/1.3455496  0.715
2010 Kuo MS, Hua X, Oehrlein GS, Ali A, Jiang P, Lazzeri P, Anderle M. Influence of C4 F8 /Ar -based etching and H 2 -based remote plasma ashing processes on ultralow k materials modifications Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: 284-294. DOI: 10.1116/1.3308623  0.743
2010 Weilnboeck F, Fox-Lyon N, Oehrlein GS, Doerner RP. Real-time and post-plasma studies of influence of low levels of tungsten on carbon erosion and surface evolution behaviour in D2 plasma Nuclear Fusion. 50. DOI: 10.1088/0029-5515/50/2/025027  0.818
2010 Nest D, Chung TY, Végh JJ, Graves DB, Bruce RL, Lin T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG. Role of polymer structure and ceiling temperature in polymer roughening and degradation during plasma processing: A beam system study of P4MS and PαMS Journal of Physics D: Applied Physics. 43. DOI: 10.1088/0022-3727/43/8/085204  0.707
2010 Chung TY, Nest D, Graves DB, Weilnboeck F, Bruce RL, Oehrlein GS, Wang D, Li M, Hudson EA. Electron, ion and vacuum ultraviolet photon effects in 193 nm photoresist surface roughening Journal of Physics D: Applied Physics. 43. DOI: 10.1088/0022-3727/43/27/272001  0.83
2010 Bruce RL, Weilnboeck F, Lin T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG, Vegh JJ, Nest D, Graves DB. Relationship between nanoscale roughness and ion-damaged layer in argon plasma exposed polystyrene films Journal of Applied Physics. 107. DOI: 10.1063/1.3373587  0.872
2009 Engelmann S, Bruce RL, Weilnboeck F, Sumiya M, Kwon T, Phaneuf R, Oehrlein GS, Andes C, Graves D, Nest D, Hudson EA. Dependence of photoresist surface modifications during plasma-based pattern transfer on choice of feedgas composition: Comparison of C4 F 8 - And CF4 -based discharges Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 27: 1165-1179. DOI: 10.1116/1.3137012  0.864
2009 Bruce RL, Engelmann S, Lin T, Kwon T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG, V́gh JJ, Nest D, Graves DB, Alizadeh A. Study of ion and vacuum ultraviolet-induced effects on styrene- and ester-based polymers exposed to argon plasma Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 27: 1142-1155. DOI: 10.1116/1.3136864  0.82
2009 Engelmann S, Bruce RL, Sumiya M, Kwon T, Phaneuf R, Oehrlein GS, Andes C, Graves D, Nest D, Hudson EA. Plasma-surface interactions of advanced photoresists with C4 F8 Ar discharges: Plasma parameter dependencies Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 27: 92-106. DOI: 10.1116/1.3054342  0.838
2009 Pal AR, Bruce RL, Weilnboeck F, Engelmann S, Lin T, Kuo MS, Phaneuf R, Oehrlein GS. Real-time studies of surface roughness development and reticulation mechanism of advanced photoresist materials during plasma processing Journal of Applied Physics. 105. DOI: 10.1063/1.3055268  0.85
2009 Nest D, Chung TY, Graves DB, Engelmann S, Bruce RL, Weilnboeck F, Oehrlein GS, Wang D, Andes C, Hudson EA. Understanding the roughening and degradation of 193 nm photoresist during plasma processing: synergistic roles of vacuum ultraviolet radiation and ion bombardment Plasma Processes and Polymers. 6: 649-657. DOI: 10.1002/Ppap.200900039  0.853
2009 Engelmann S, Bruce RL, Weilnboeck F, Oehrlein GS, Nest D, Graves DB, Andes C, Hudson EA. Dependence of polymer surface roughening rate on deposited energy density during plasma processing Plasma Processes and Polymers. 6: 484-489. DOI: 10.1002/Ppap.200900004  0.843
2009 Lee DY, Hines DR, Stafford CM, Soles CL, Lin EK, Oehrlein GS. Low-temperature plasma-assisted nanotransfer printing between thermoplastic polymers Advanced Materials. 21: 2524-2529. DOI: 10.1002/Adma.200803121  0.314
2008 Sumiya M, Bruce R, Engelmann S, Weilnboeck F, Oehrlein GS. Study of 193 nm photoresist degradation during short time fluorocarbon plasma exposure III. Effect of fluorocarbon film and initial surface condition on photoresist degradation Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 1978-1986. DOI: 10.1116/1.3021037  0.864
2008 Sumiya M, Bruce R, Engelmann S, Weilnboeck F, Oehrlein GS. Study of 193 nm photoresist degradation during short time fluorocarbon plasma exposures. II. Plasma parameter trends for photoresist degradation Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 1647-1653. DOI: 10.1116/1.2960563  0.864
2008 Sumiya M, Bruce R, Engelmann S, Weilnboeck F, Oehrlein GS. Study of 193 nm photoresist degradation during short time fluorocarbon plasma exposure. I. Studies of modified layer formation Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 1637-1646. DOI: 10.1116/1.2960561  0.86
2008 Ling L, Hua X, Zheng L, Oehrlein GS, Hudson EA, Jiang P. Studies of fluorocarbon film deposition and its correlation with etched trench sidewall angle by employing a gap structure using C4F 8Ar and CF4/H2 based capacitively coupled plasmas Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 11-22. DOI: 10.1116/1.2817627  0.633
2008 V́gh JJ, Nest D, Graves DB, Bruce R, Engelmann S, Kwon T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG. Molecular dynamics simulations of near-surface modification of polystyrene: Bombardment with Ar+ and Ar+ /radical chemistries Journal of Applied Physics. 104. DOI: 10.1063/1.2963708  0.78
2008 Nest D, Graves DB, Engelmann S, Bruce RL, Weilnboeck F, Oehrlein GS, Andes C, Hudson EA. Synergistic effects of vacuum ultraviolet radiation, ion bombardment, and heating in 193 nm photoresist roughening and degradation Applied Physics Letters. 92. DOI: 10.1063/1.2912028  0.824
2008 Lazzeri P, Oehrlein GS, Stueber GJ, McGowan R, Busch E, Pederzoli S, Jeynes C, Bersani M, Anderle M. Interactions of photoresist stripping plasmas with nanoporous organo-silicate ultra low dielectric constant dielectrics Thin Solid Films. 516: 3697-3703. DOI: 10.1016/j.tsf.2007.08.043  0.345
2007 Stueber GJ, Oehrlein GS, Lazzeri P, Bersani M, Anderle M, Busch E, McGowan R. On the photoresist stripping and damage of ultralow k dielectric materials using remote H2 - And D2 -based discharges Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 25: 1593-1602. DOI: 10.1116/1.2769360  0.347
2007 Engelmann S, Bruce RL, Kwon T, Phaneuf R, Oehrlein GS, Bae YC, Andes C, Graves D, Nest D, Hudson EA, Lazzeri P, Iacob E, Anderle M. Plasma-surface interactions of model polymers for advanced photoresists using C4 F8 Ar discharges and energetic ion beams Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 25: 1353-1364. DOI: 10.1116/1.2759935  0.838
2007 Orf BJ, Walton SG, Leonhardt D, Oehrlein GS. Study of photoresist etching and roughness formation in electron-beam generated plasmas Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 25: 779-784. DOI: 10.1116/1.2732741  0.512
2007 Kwon T, Kan HC, Oehrlein GS, Phaneuf RJ. Transient roughening behaviour and spontaneous pattern formation during plasma etching of nanoporous silica Nanotechnology. 18. DOI: 10.1088/0957-4484/18/5/055305  0.35
2007 V́gh JJ, Nest D, Graves DB, Bruce R, Engelmann S, Kwon T, Phaneuf RJ, Oehrlein GS, Long BK, Willson CG. Near-surface modification of polystyrene by Ar+: Molecular dynamics simulations and experimental validation Applied Physics Letters. 91. DOI: 10.1063/1.2821226  0.788
2006 Lazzeri P, Stueber GJ, Oehrlein GS, McGowan R, Busch E, Pederzoli S, Bersani M, Anderle M. Time of flight secondary ion mass spectroscopy investigation of ultralow- k dielectric modifications in hydrogen and deuterium plasmas Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 24: 2695-2701. DOI: 10.1116/1.2382949  0.38
2006 Hua X, Engelmann S, Oehrlein GS, Jiang P, Lazzeri P, Iacob E, Anderle M. Studies of plasma surface interactions during short time plasma etching of 193 and 248 nm photoresist materials Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 24: 1850-1858. DOI: 10.1116/1.2217973  0.664
2006 Hua X, Kuo MS, Oehrlein GS, Lazzeri P, Iacob E, Anderle M, Inoki CK, Kuan TS, Jiang P, Wu WL. Damage of ultralow k materials during photoresist mask stripping process Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 24: 1238-1247. DOI: 10.1116/1.2194947  0.71
2006 Oehrlein GS, Hua X, Stolz C, Jiang P. Nanoscale layer etching by short-time exposure of substrates to gas discharges using moving patterned shutter Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 24: 279-283. DOI: 10.1116/1.2162570  0.633
2006 Lazzeri P, Hua X, Oehrlein G, Iacob E, Barozzi M, Bersani M, Anderle M. ToF-SIMS and AFM studies of low-k dielectric etching in fluorocarbon plasmas Applied Surface Science. 252: 7186-7189. DOI: 10.1016/J.Apsusc.2006.02.104  0.733
2005 Lazzeri P, Hua X, Oehrlein GS, Barozzi M, Iacob E, Anderle M. Porosity-induced effects during C4 F8 90% Ar plasma etching of silica-based ultralow- k dielectrics Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 23: 1491-1498. DOI: 10.1116/1.1943439  0.681
2005 Zheng L, Ling L, Hua X, Oehrlein GS, Hudson EA. Studies of film deposition in fluorocarbon plasmas employing a small gap structure Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 23: 634-642. DOI: 10.1116/1.1931680  0.662
2005 Hua X, Stolz C, Oehrlein GS, Lazzeri P, Coghe N, Anderle M, Inoki CK, Kuan TS, Jiang P. Plasma-surface interactions of nanoporous silica during plasma-based pattern transfer using C 4F 8 and C 4F 8/Ar gas mixtures Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 23: 151-164. DOI: 10.1116/1.1821584  0.659
2005 Morgan B, Hua X, Iguchi T, Tomioka T, Oehrlein GS, Ghodssi R. Substrate interconnect technologies for 3-D MEMS packaging Microelectronic Engineering. 81: 106-116. DOI: 10.1016/J.Mee.2005.04.004  0.53
2004 Ling L, Hua X, Li X, Oehrlein GS, Hudson EA, Lazzeri P, Anderle M. Investigation of surface modifications of 193 and 248 nm photoresist materials during low-pressure plasma etching Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 22: 2594-2603. DOI: 10.1116/1.1805545  0.705
2004 Li X, Ling L, Hua X, Oehrlein GS, Wang Y, Vasenkov AV, Kushner MJ. Properties of C 4F 8 inductively coupled plasmas. I. Studies of Ar/c-C 4F 8 magnetically confined plasmas for etching of SiO 2 Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 22: 500-510. DOI: 10.1116/1.1697482  0.676
2004 Ling L, Hua X, Li X, Oehrlein GS, Celii FG, Kirmse KHR, Jiang P, Wang Y, Anderson HM. Study of C 4F 8/CO and C 4F 8/Ar/ CO plasmas for highly selective etching of organosilicate glass over Si 3N 4 and SiC Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 22: 236-244. DOI: 10.1116/1.1638780  0.673
2004 Li X, Hua X, Ling L, Oehrlein GS, Karwacki E, Ji B. Surface chemical changes of aluminum during NF 3-based plasma processing used for in situ chamber cleaning Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 22: 158-164. DOI: 10.1116/1.1633566  0.726
2004 Standaert TEFM, Hedlund C, Joseph EA, Oehrlein GS, Dalton TJ. Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 22: 53-60. DOI: 10.1116/1.1626642  0.655
2004 Humbird D, Graves DB, Hua X, Oehrlein GS. Molecular dynamics simulations of Ar +-induced transport of fluorine through fluorocarbon films Applied Physics Letters. 84: 1073-1075. DOI: 10.1063/1.1644338  0.529
2004 Ling L, Hua X, Li X, Oehrlein GS, Hudson EA, Lazzeri P, Anderle M. Investigation of surface modifications of 193 nm and 248 nm photoresist materials during low-pressure plasma etching Ieee International Conference On Plasma Science. 168.  0.653
2003 Li X, Hua X, Oehrlein GS, Wang Y, Anderson HM. Characteristics of C4F8 plasmas with Ar, Ne, and He additives for SiO2 etching in an inductively coupled plasma (ICP) reactor Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 21: 1955-1963. DOI: 10.1116/1.1619420  0.605
2003 Li X, Oehrlein GS, Schaepkens M, Ellefson RE, Frees LC. Spatially resolved mass spectrometric sampling of inductively coupled plasmas using a movable sampling orifice Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 21: 1971-1977. DOI: 10.1116/1.1619413  0.807
2003 Hua X, Wang X, Fuentevilla D, Oehrlein GS, Celii FG, Kirmse KHR. Study of C4F8/N2 and C4F 8/Ar/N2 plasmas for highly selective organosilicate glass etching over Si3N4 and SiC Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 21: 1708-1716. DOI: 10.1116/1.1598973  0.309
2003 Li X, Ling L, Hua X, Fukasawa M, Oehrlein GS, Barela M, Anderson HM. Effects of Ar and O2 additives on SiO2 etching in C4F8-based plasmas Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 21: 284-293. DOI: 10.1116/1.1531140  0.613
2002 Li X, Hua X, Ling L, Oehrlein GS, Barela M, Anderson HM. Fluorocarbon-based plasma etching of Sio2: Comparison of C4F6/Ar and C4F8/Ar discharges Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 20: 2052-2061. DOI: 10.1116/1.1517256  0.712
2001 Schaepkens M, Martini I, Sanjuan EA, Li X, Oehrlein GS, Perry WL, Anderson HM. Gas-phase studies in inductively coupled fluorocarbon plasmas Journal of Vacuum Science and Technology, Part a: Vacuum, Surfaces and Films. 19: 2946-2957. DOI: 10.1116/1.1415361  0.407
2001 Standaert TEFM, Matsuo PJ, Li X, Oehrlein GS, Lu TM, Gutmann R, Rosenmayer CT, Bartz JW, Langan JG, Entley WR. High-density plasma patterning of low dielectric constant polymers: A comparison between polytetrafluoroethylene, parylene-N, and poly(arylene ether) Journal of Vacuum Science and Technology, Part a: Vacuum, Surfaces and Films. 19: 435-446. DOI: 10.1116/1.1349201  0.819
2001 Kastenmeier BEE, Matsuo PJ, Oehrlein GS, Ellefson RE, Frees LC. Surface etching mechanism of silicon nitride in fluorine and nitric oxide containing plasmas Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 19: 25-30. DOI: 10.1116/1.1329118  0.838
2001 Schaepkens M, Oehrlein GS. A review of SiO2 etching studies in inductively coupled fluorocarbon plasmas Journal of the Electrochemical Society. 148: C211-C221.  0.462
2000 Schaepkens M, Oehrlein GS, Cook JM. Effect of radio frequency bias power on SiO2 feature etching in inductively coupled fluorocarbon plasmas Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 18: 848-855. DOI: 10.1116/1.591285  0.306
2000 Standaert TEFM, Joseph EA, Oehrlein GS, Jain A, Gill WN, Wayner PC, Plawsky JL. Etching of xerogel in high-density fluorocarbon plasmas Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 18: 2742-2748. DOI: 10.1116/1.1290376  0.715
2000 Oehrlein GS, Standaert TEFM, Matsuo PJ. Pattern transfer into low dielectric constant materials by high-density plasma etching Solid State Technology. 43: 125-126, 128, 130, 1.  0.36
1999 Kastenmeier BEE, Matsuo PJ, Oehrlein GS. Highly selective etching of silicon nitride over silicon and silicon dioxide Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 17: 3179-3184.  0.306
1999 Schaepkens M, Rueger NR, Beulens JJ, Li X, Standaert TEFM, Matsuo PJ, Oehrlein GS. Effect of capacitive coupling on inductively coupled fluorocarbon plasma processing Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 17: 3272-3280.  0.409
1999 Li X, Schaepkens M, Oehrlein GS, Ellefson RE, Frees LC, Mueller N, Korner N. Mass spectrometric measurements on inductively coupled fluorocarbon plasmas: Positive ions, radicals and endpoint detection Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 17: 2438-2446.  0.33
1999 Rueger NR, Doemling MF, Schaepkens M, Beulens JJ, Standaert TEFM, Oehrlein GS. Selective etching of SiO2 over polycrystalline silicon using CHF3 in an inductively coupled plasma reactor Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 17: 2492-2502.  0.363
1999 Standaert TEFM, Matsuo PJ, Allen SD, Oehrlein GS, Dalton TJ. Patterning of fluorine-, hydrogen-, and carbon-containing SiO2-like low dielectric constant materials in high-density fluorocarbon plasmas: Comparison with SiO2 Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 17: 741-748.  0.386
1999 Oehrlein GS, Doemling MF, Kastenmeier BEE, Matsuo PJ, Rueger NR, Schaepkens M, Standaert TEFM. Surface science issues in plasma etching Ibm Journal of Research and Development. 43: 181-196.  0.462
1999 Schaepkens M, Standaert TEFM, Rueger NR, Sebel PGM, Oehrlein GS, Cook JM. Study of the SiO 2-to-Si 3N 4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO 2-to-Si mechanism Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 17: 26-37.  0.34
1998 Schaepkens M, Oehrlein GS. Asymmetric microtrenching during inductively coupled plasma oxide etching in the presence of a weak magnetic field Applied Physics Letters. 72: 1293-1295. DOI: 10.1063/1.121068  0.815
1998 Oehrlein GS, Kurogi Y. Sidewall surface chemistry in directional etching processes Materials Science and Engineering R: Reports. 24: 153-183.  0.395
1998 Standaert TEFM, Matsuo PJ, Allen SD, Oehrlein GS, Dalton TJ, Lu TM, Gutmann R. High-density plasma etching of low dielectric constant materials Materials Research Society Symposium - Proceedings. 511: 265-275.  0.42
1998 Schaepkens M, Oehrlein GS, Hedlund C, Jonsson LB, Blom HO. Selective SiO2-to-Si3N4 etching in inductively coupled fluorocarbon plasmas: Angular dependence of SiO2 and Si3N4 etching rates Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 16: 3281-3286.  0.464
1998 Kastenmeier BEE, Matsuo PJ, Oehrlein GS, Langan JG. Remote plasma etching of silicon nitride and silicon dioxide using NF3/O2 gas mixtures Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 16: 2047-2056.  0.33
1998 Schaepkens M, Bosch RCM, Standaert TEFM, Oehrlein GS, Cook JM. Influence of reactor wall conditions on etch processes in inductively coupled fluorocarbon plasmas Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 16: 2099-2107.  0.413
1998 Doemling MF, Rueger NR, Oehrlein GS, Cook JM. Photoresist erosion studied in an inductively coupled plasma reactor employing CHF3 Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 16: 1998-2005.  0.343
1998 Standaert TEFM, Schaepkens M, Rueger NR, Sebel PGM, Oehrlein GS, Cook JM. High density fluorocarbon etching of silicon in an inductively coupled plasma: Mechanism of etching through a thick steady state fluorocarbon layer Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 16: 239-249.  0.407
1997 Oehrlein GS. Surface processes in low pressure plasmas Surface Science. 386: 222-230.  0.527
1997 Matsuo PJ, Kastenmeier BEE, Beulens JJ, Oehrlein GS. Role of N2 addition on CF4/O2 remote plasma chemical dry etching of polycrystalline silicon Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 15: 1801-1813.  0.37
1997 Rueger NR, Beulens JJ, Schaepkens M, Doemling MF, Mirza JM, Standaert TEFM, Oehrlein GS. Role of steady state fluorocarbon films in the etching of silicon dioxide using CHF3 in an inductively coupled plasma reactor Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 15: 1881-1889.  0.358
1996 Zhang Y, Oehrlein GS, Bell FH. Erratum: Fluorocarbon high density plasmas. VII. Investigation of selective SiO2‐to‐Si3N4 high density plasma etch processes [J. Vac. Sci. Technol. A 14, 2127 (1996)] Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 14: 3291-3291. DOI: 10.1116/1.580229  0.334
1996 Oehrlein GS, Matsuo PJ, Doemling MF, Rueger NR, Kastenmeier BEE, Schaepkens M, Standaert T, Beulens JJ. Study of plasma-surface interactions: Chemical dry etching and high-density plasma etching Plasma Sources Science and Technology. 5: 193-199. DOI: 10.1088/0963-0252/5/2/012  0.857
1996 Doemling MF, Rueger NR, Oehrlein GS. Observation of inverse reactive ion etching lag for silicon dioxide etching in inductively coupled plasmas Applied Physics Letters. 68: 10-12. DOI: 10.1063/1.116772  0.36
1996 Kastenmeier BEE, Matsuo PJ, Beulens JJ, Oehrlein GS. Chemical dry etching of silicon nitride and silicon dioxide using CF4/O2/N2 gas mixtures Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 14: 2802-2813.  0.348
1996 Zhang Y, Oehrlein GS, Bell FH. Fluorocarbon high density plasmas. VII. Investigation of selective SiO2-to-Si3N4 high density plasma etch processes Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 14: 2127-2137.  0.38
1996 Buyanova IA, Henry A, Monemar B, Lindström JL, Oehrlein GS. Photoluminescence characterization of SF6-O2 plasma etching of silicon Materials Science and Engineering B. 36: 100-103.  0.399
1996 Buyanova IA, Henry A, Monemar B, Lindstrom JL, Oehrlein GS. Proceedings of the 1995 E-MRS Spring Meeting Materials Science & Engineering. B, Solid-State Materials For Advanced Technology. [d]294p.  0.384
1996 Buyanova IA, Henry A, Monemar B, Lindstrom JL, Lamprecht A, Svensson BG, Oehrlein GS. Characterization of defects created in silicon due to etching in low-pressure plasmas containing fluorine and oxygen Materials Research Society Symposium - Proceedings. 396: 599-604.  0.328
1995 Buyanova IA, Henry A, Monemar B, Lindstrom JL, Oehrlein GS. Photoluminescence of defects induced in silicon by SF6/O2 reactive-ion etching Journal of Applied Physics. 78: 3348-3352. DOI: 10.1063/1.360711  0.445
1995 Beulens JJ, Kastenmeier BEE, Matsuo PJ, Oehrlein GS. Chemical downstream etching of silicon-nitride and polycrystalline silicon using CF4/O2/N2: Surface chemical effects of O2 and N2 additives Applied Physics Letters. 66: 3359.  0.399
1994 Kirmse KHR, Oehrlein GS, Wendt AE, Zhang Y. Fluorocarbon high density plasmas. VIII. Study of the ion flux composition at the substrate in electron cyclotron resonance etching processes using fluorocarbon gases Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 12: 1287-1292. DOI: 10.1116/1.579310  0.359
1994 Joubert O, Oehrlein GS, Surendra M, Zhang Y. Reactive ion etching lag investigation of oxide etching in fluorocarbon electron cyclotron resonance plasmas Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 12: 1957-1961. DOI: 10.1116/1.578989  0.333
1994 Bell FH, Joubert O, Oehrlein GS, Zhang Y, Vender D. Investigation of selective SiO2‐to‐Si etching in an inductively coupled high‐density plasma using fluorocarbon gases Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 12: 3095-3101. DOI: 10.1116/1.578942  0.338
1994 Oehrlein GS, Zhana Y, Vender D, Joubert O. Fluorocarbon high-density plasmas. II. Silicon dioxide and silicon etching using CF4 and CHF Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 12: 333-344. DOI: 10.1116/1.578877  0.401
1994 Oehrlein GS, Zhang Y, Vender D, Haverlaq M. Fluorocarbon high-density plasmas. I. Fluorocarbon film deposition and etching using CF4 and CHF3 Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 12: 323-332. DOI: 10.1116/1.578876  0.408
1994 Joubert O, Oehrlein GS, Surendra M. Fluorocarbon high density plasma. VI. Reactive ion etching lag model for contact hole silicon dioxide etching in an electron cyclotron resonance plasma Journal of Vacuum Science & Technology a: Vacuum, Surfaces, and Films. 12: 665-670. DOI: 10.1116/1.578850  0.429
1994 Joubert O, Oehrlein GS, Zhang Y. Fluorocarbon high density plasma. V. Influence of aspect ratio on the etch rate of silicon dioxide in an electron cyclotron resonance plasma Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 12: 658-664. DOI: 10.1116/1.578849  0.413
1993 Vender D, Oehrlein GS, Schwartz GC. Selective reactive ion etching of phosphorus-doped oxide over undoped SiO2 Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 11: 279-285. DOI: 10.1116/1.578726  0.326
1993 Oehrlein GS. Effects of ion bombardment in plasma etching on the fluorinated silicon surface layer: Real-time and postplasma surface studies Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 11: 34-46. DOI: 10.1116/1.578717  0.429
1993 Zhang Y, Corbett JW, Oehrlein GS, de Frésart E. Reactive Ion Etching of Sige Alloys using Fluorine-Containing Plasmas * Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 11: 2492-2495. DOI: 10.1116/1.578598  0.674
1993 Henry A, Monemar B, Lindström JL, Oehrlein GS, Malinowski JC. A photoluminescence study of CF4 reactive-ion-etched silicon: Various process conditions and magnetically enhanced etching Journal of Applied Physics. 74: 6349-6352. DOI: 10.1063/1.355158  0.31
1993 Zhang Y, Oehrlein GS, Kroesen GMW, Wittmer M, Stein K. High-resolution depth profiling of ultrathin silicon oxide/nitride/oxide layers Journal of the Electrochemical Society. 140: 1439-1441.  0.311
1992 Oehrlein GS, Kroesen GMW, Lindstrfim JL. Etching of silicon in low-pressure plasmas containing fluorine and oxygen: A comparison of real-time and postplasma surface studies Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 10: 3092-3099. DOI: 10.1116/1.577870  0.488
1992 Zhang Y, Oehrlein GS, De Frésart E, Corbett JW. Reactive ion etching of SiGe alloys using CF2Cl2 Journal of Applied Physics. 71: 1936-1942. DOI: 10.1063/1.351183  0.574
1992 Haverlag M, Vender D, Oehrlein GS. Ellipsometric study of silicon surface damage in electron cyclotron resonance plasma etching using CF4 and SF6 Applied Physics Letters. 61: 2875-2877. DOI: 10.1063/1.108062  0.458
1992 Vender D, Haverlag M, Oehrlein GS. Ion-induced fluorination in electron cyclotron resonance etching of silicon studied by x-ray photoelectron spectroscopy Applied Physics Letters. 61: 3136-3138. DOI: 10.1063/1.107985  0.382
1992 Oehrlein GS, Rembetski JF. Plasma-based dry etching techniques in the silicon integrated circuit technology Ibm Journal of Research and Development. 36: 140-157.  0.328
1992 Lindstrom JL, Oehrlein GS, Lanford WA. Reactive ion etching of silicon nitride deposited by different methods in CF4/H2 plasmas Journal of the Electrochemical Society. 139: 317-320.  0.361
1991 Henry A, Monemar B, Lindström JL, Bestwick TD, Oehrlein GS. Photoluminescence characterization of plasma exposed silicon surfaces Journal of Applied Physics. 70: 5597-5603. DOI: 10.1063/1.350172  0.339
1991 Angell D, Oehrlein GS. Grazing angle optical emission interferometry for end-point detection Applied Physics Letters. 58: 240-242. DOI: 10.1063/1.104701  0.34
1991 Simko JP, Oehrlein GS. Reactive ion etching of silicon and silicon dioxide in CF4 plasmas containing H2 or C2F4 additives Journal of the Electrochemical Society. 138: 2748-2752.  0.435
1991 Oehrlein GS, Bestwick TD, Jones PL, Jaso MA, Lindstroem JL. Selective dry etching of germanium with respect to silicon and vice versa Journal of the Electrochemical Society. 138: 1443-1452.  0.341
1991 Simko JP, Oehrlein GS, Mayer TM. Removal of fluorocarbon residues on CF4/H2 reactive-ion-etched silicon surfaces using a hydrogen plasma Journal of the Electrochemical Society. 138: 277-284.  0.462
1990 Bestwick TD, Oehrlein GS. Reactive ion etching of silicon using bromine containing plasmas Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 8: 1696-1701. DOI: 10.1116/1.576832  0.409
1990 Weman H, Lindström JL, Oehrlein GS, Svensson BG. Reactive-ion- and plasma-etching-induced extended defects in silicon studied with photoluminescence Journal of Applied Physics. 67: 1013-1021. DOI: 10.1063/1.345784  0.351
1990 Bestwick TD, Oehrlein GS, Angell D. Cryogenic reactive ion etching of silicon in SF6 Applied Physics Letters. 57: 431-433. DOI: 10.1063/1.104240  0.395
1990 Oehrlein GS, Bestwick TD, Jones PL, Corbett JW. Selective dry etching of silicon with respect to germanium Applied Physics Letters. 56: 1436-1438. DOI: 10.1063/1.102490  0.618
1989 Oehrlein GS, Chan KK, Jaso MA, Rubloff GW. Surface analysis of realistic semiconductor microstructures Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 7: 1030-1034. DOI: 10.1116/1.576224  0.314
1989 Bestwick TD, Oehrlein GS. Tungsten etching mechanisms in CF4/O2 reactive ion etching plasmas Journal of Applied Physics. 66: 5034-5038. DOI: 10.1063/1.343776  0.434
1989 Robey SW, Jaso MA, Oehrlein GS. A photoemission investigation of surface processes affecting the reactive ion etching of TiSi2 in CF4 Journal of Applied Physics. 65: 2951-2956. DOI: 10.1063/1.342742  0.39
1989 Lindström JL, Oehrlein GS, Scilla GJ, Yapsir AS, Corbett JW. Effects of deuterium plasmas on silicon near-surface properties Journal of Applied Physics. 65: 3297-3300. DOI: 10.1063/1.342666  0.651
1989 Bestwick TD, Oehrlein GS, Angell D, Jones PL, Corbett JW. Study of oxygen addition to CF3Br reactive ion etching plasmas: Effects on silicon surface chemistry and etching behavior Applied Physics Letters. 54: 2321-2323. DOI: 10.1063/1.101115  0.658
1989 Oehrlein GS, Kalish R. Silicon loss and transient etch rate in selective reactive ion etching of oxide overlayers Applied Physics Letters. 54: 2698-2700. DOI: 10.1063/1.100673  0.316
1989 Oehrlein GS. Dry etching damage of silicon: A review Materials Science and Engineering B. 4: 441-450. DOI: 10.1016/0921-5107(89)90284-5  0.351
1989 Henry A, Awadelkarim OO, Lindström JL, Oehrlein GS. Effects of deuterium plasma treatments on the electrical properties of boron-doped silicon Materials Science and Engineering B. 4: 147-151. DOI: 10.1016/0921-5107(89)90232-8  0.338
1989 Robey SW, Oehrlein GS. Fluorination of the silicon dioxide surface during reactive ion and plasma etching in halocarbon plasmas Surface Science. 210: 429-448. DOI: 10.1016/0039-6028(89)90604-3  0.467
1989 Oehrlein GS, Robey SW, Lindstrom JL, Chan KK, Jaso MA, Scilla GJ. Surface modifications of electronic materials induced by plasma etching Journal of the Electrochemical Society. 136: 2050-2057.  0.524
1988 Jaso MA, Oehrlein GS. Transient fluorocarbon film thickness effects near the silicon dioxide/silicon interface in selective silicon dioxide reactive ion etching Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 6: 1397-1401. DOI: 10.1116/1.575712  0.314
1988 Robey SW, Oehrlein GS. Valence-band photoemission and electron energy-loss studies of reactive ion etched silicon dioxide Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 6: 1503-1507. DOI: 10.1116/1.575349  0.3
1988 Oehrlein GS, Bright AA, Robey SW. X-ray photoemission spectroscopy characterization of silicon surfaces after CF4/H2magnetron ion etching: Comparisons to reactive ion etching Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 6: 1989-1993. DOI: 10.1116/1.575222  0.336
1988 Jeng SJ, Oehrlein GS, Scilla GJ. Hydrogen plasma induced defects in silicon Applied Physics Letters. 53: 1735-1737. DOI: 10.1063/1.99810  0.369
1988 Oehrlein GS, Scilla GJ, Jeng SJ. Efficiency of oxygen plasma cleaning of reactive ion damaged silicon surfaces Applied Physics Letters. 52: 907-909. DOI: 10.1063/1.99269  0.367
1988 Oehrlein GS, Robey SW, Lindström JL. Surface processes in CF4/O2 reactive etching of silicon Applied Physics Letters. 52: 1170-1172. DOI: 10.1063/1.99195  0.383
1988 Oehrlein GS, Chan KK, Jaso MA. In situ spatially resolved surface characterization of realistic semiconductor structure after reactive ion etching process Journal of Applied Physics. 64: 2399-2402. DOI: 10.1063/1.341672  0.324
1988 Copel M, Tromp RM, Robey SW, Oehrlein GS. Medium energy ion scattering analysis of reactive ion etched Si(001) surfaces Applied Physics Letters. 53: 2317-2319. DOI: 10.1063/1.100265  0.373
1987 Oehrlein GS, Robey SW, Jaso MA. Mechanism of the Slow-Down of the Silicon Etch Rate by a Fluorocarbon Overlayer in CF4/H2 Reactive Ion Etching of Silicon Mrs Proceedings. 98. DOI: 10.1557/PROC-98-229  0.367
1987 Bright AA, Kaushik S, Oehrlein GS. Plasma Chemical Aspects of Magnetron Ion Etching with CF4/O2 and CF4/H2 Mrs Proceedings. 98. DOI: 10.1557/PROC-98-217  0.424
1987 Jeng S, Oehrlein GS, Scilla GJ. Hydrogen-Induced Defects in Silicon by CF4/x% H2 (0≤x≤ 100) RIE and H2 Plasma Mrs Proceedings. 104. DOI: 10.1557/PROC-104-247  0.349
1987 Oehrlein GS, Lee YH. Reactive ion etching related Si surface residues and subsurface damage: Their relationship to fundamental etching mechanisms Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 5: 1585-1594. DOI: 10.1116/1.574569  0.353
1987 Jeng SJ, Oehrlein GS. Microstructural studies of reactive ion etched silicon Applied Physics Letters. 50: 1912-1914. DOI: 10.1063/1.97684  0.33
1987 Bright AA, Kaushik S, Oehrlein GS. Plasma chemical aspects of magnetron ion etching with CF4/O 2 Journal of Applied Physics. 62: 2518-2522. DOI: 10.1063/1.339463  0.445
1986 Oehrlein GS, Coyle GJ, Tsang JC, Tromp RM, Clabes JG, Lee YH. Anisotropic Dry Etching of S1O2 on Si and its Impact on Surface and Near-Surface Properties of the Substrate. Mrs Proceedings. 68. DOI: 10.1557/Proc-68-367  0.308
1986 Oehrlein GS. Investigation of Reactive-Ion-Etching-Related Fluorocarbon Film Deposition onto Silicon and a New Method for Surface Residue Removal Journal of the Electrochemical Society. 133: 1002. DOI: 10.1149/1.2108695  0.33
1986 Borenstein JT, Jones JT, Corbett JW, Oehrlein GS, Kleinhenz RL. Quenched-in defects in flashlamp-annealed silicon Applied Physics Letters. 49: 199-200. DOI: 10.1063/1.97169  0.491
1986 Oehrlein GS. Reactive‐Ion Etching Physics Today. 39: 26-33. DOI: 10.1063/1.881066  0.331
1986 Mu XC, Fonash SJ, Oehrlein GS, Chakravarti SN, Parks C, Keller J. A study of CClF3/H2 reactive ion etching damage and contamination effects in silicon Journal of Applied Physics. 59: 2958-2967. DOI: 10.1063/1.336934  0.352
1986 Oehrlein GS. Rutherford backscattering studies of plasma-etched silicon Journal of Applied Physics. 59: 3053-3062. DOI: 10.1063/1.336928  0.399
1986 Oehrlein GS, Reimanis I, Lee YH. Plasma-deposited fluorocarbon films on silicon studied by ellipsometry Thin Solid Films. 143: 269-278. DOI: 10.1016/0040-6090(86)90180-X  0.319
1986 Oehrlein GS, Coyle GJ, Clabes JG, Lee YH. Study of near-surface disorder and surface residues after reactive Ion etching of Silicon Surface and Interface Analysis. 9: 275-281. DOI: 10.1002/sia.740090503  0.327
1986 Oehrlein GS, Schad RG, Jaso MA. Mechanism of silicon surface roughening by reactive ion etching Surface and Interface Analysis. 8: 243-246. DOI: 10.1002/sia.740080604  0.337
1986 OEHRLEIN GS, CLABES JG, SPIRITO P. ChemInform Abstract: Investigation of Reactive-Ion-Etching-Related Fluorocarbon Film Deposition Onto Silicon and a New Method for Surface Residue Removal. Chemischer Informationsdienst. 17. DOI: 10.1002/chin.198639006  0.329
1985 Feenstra RM, Oehrlein GS. Surface morphology of oxidized and ion‐etched silicon by scanning tunneling microscopy Applied Physics Letters. 47: 97-99. DOI: 10.1063/1.96431  0.316
1984 Lindstrom JL, Svensson BC, Corbett JW, Oehrlein GS. On the Complex of the Oxygen Interstitial and the Silicon Interstitial in Silicon Physica Status Solidi (a). 85: K109-K111. DOI: 10.1002/Pssa.2210850246  0.49
1983 Oehrlein GS, Krafcsik I, Lindström JL, Jaworowski AE, Corbett JW. The mechanism of the enhancement of divacancy production by oxygen during electron irradiation of silicon. II. Computer modeling Journal of Applied Physics. 54: 179-183. DOI: 10.1063/1.331728  0.503
1983 Jaworowski A, Oehrlein G, Corbett J. Electron irradiation effects in edge-defined film-fed growth ribbon silicon Physica B+C. 116: 287-290. DOI: 10.1016/0378-4363(83)90261-9  0.512
1983 Oehrlein G, Lindström J, Krafcsik I, Jaworowski A, Corbett J. A quantitative investigation of divacancy production enhancement by interstitial oxygen in electron-irradiated silicon Physica B+C. 116: 230-235. DOI: 10.1016/0378-4363(83)90252-8  0.493
1982 Oehrlein GS, Corbett JW. Early Stages of Oxygen Clustering and Its Influence on Electrical Behavior of Silicon Mrs Proceedings. 14. DOI: 10.1557/Proc-14-107  0.477
1982 Oehrlein GS, Lindström JL, Corbett JW. Carbon‐oxygen complexes as nuclei for the precipitation of oxygen in Czochralski silicon Applied Physics Letters. 40: 241-243. DOI: 10.1063/1.93060  0.483
1982 Lindström LJ, Oehrlein GS, Jaworowski AE, Corbett JW. The mechanism of the enhancement in divacancy production by oxgyen during electron irradiation of silicon. I. Experimental Journal of Applied Physics. 53: 8686-8690. DOI: 10.1063/1.330466  0.493
1982 Shi TS, Sahu SN, Oehrlein GS, Hiraki A, Corbett JW. Models for the Hydrogen-Related Defect—Impurity Complexes and SiH Infrared Bands in Crystalline Silicon Physica Status Solidi (a). 74: 329-341. DOI: 10.1002/Pssa.2210740140  0.475
1981 Oehrlein G, Lindström J, Corbett J. Electrolytical method for hydrogenation of silicon Physics Letters A. 81: 246-248. DOI: 10.1016/0375-9601(81)90254-1  0.488
1981 Oehrlein G, Challou D, Jaworowski A, Corbett J. The role of carbon in the precipitation of oxygen in silicon Physics Letters A. 86: 117-119. DOI: 10.1016/0375-9601(81)90180-8  0.493
Show low-probability matches.