Jaeha Kim, Ph.D. - Publications

Affiliations: 
2003 Stanford University, Palo Alto, CA 
Area:
Electrical Engineering, Computer science

42 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2019 Kim K, Kim J. A channel-emulating high-speed transmitter with pseudo-logarithmic and low-bandwidth amplifiers Ieice Electronics Express. 16: 20190247-20190247. DOI: 10.1587/Elex.16.20190247  0.307
2019 Ryu S, Son S, Kim J. An Accurate and Noise-Resilient Spread-Spectrum Clock Tracking Aid for Digitally-Controlled Clock and Data Recovery Loops Ieee Transactions On Circuits and Systems I-Regular Papers. 66: 1245-1257. DOI: 10.1109/Tcsi.2018.2879614  0.408
2019 Son S, Ryu S, Yeo H, Kim J. A 2 $\times$ Blind Oversampling FSE Receiver With Combined Adaptive Equalization and Infinite-Range Timing Recovery Ieee Journal of Solid-State Circuits. 54: 2823-2832. DOI: 10.1109/Jssc.2019.2919417  0.422
2018 Lee M, Yang J, Park M, Jung S, Kim J. Design and Analysis of Energy-Efficient Single-Pulse Piezoelectric Energy Harvester and Power Management IC for Battery-Free Wireless Remote Switch Applications Ieee Transactions On Circuits and Systems I-Regular Papers. 65: 366-379. DOI: 10.1109/Tcsi.2017.2717383  0.436
2018 Choi Y, Lee Y, Baek S, Lee S, Kim J. CHIMERA: A Field-Programmable Mixed-Signal IC With Time-Domain Configurable Analog Blocks Ieee Journal of Solid-State Circuits. 53: 431-444. DOI: 10.1109/Jssc.2017.2757005  0.461
2017 Kim DH, Shin HJ, Lee H, Jeong CK, Park H, Hwang G, Lee H, Joe DJ, Han JH, Lee SH, Kim J, Joung B, Lee KJ. In Vivo Self-Powered Wireless Transmission Using Biocompatible Flexible Energy Harvesters Advanced Functional Materials. 27: 1700341. DOI: 10.1002/Adfm.201700341  0.337
2016 Kang T, Lee Y, Kim J. Design and Analysis of 37.5% Energy-Recycling Flyback-Type Class-D Low-Side Gate Driver IC with 5-to-15-V Level Conversion Ieee Transactions On Industry Applications. 52: 3324-3331. DOI: 10.1109/Tia.2016.2555919  0.459
2016 Lee M, Kim J. Design of a 93% Energy-Efficient Buck-Type Capacitor Charger IC in 250-nm CMOS Ieee Transactions On Industry Applications. 52: 3203-3211. DOI: 10.1109/Tia.2016.2541086  0.435
2016 Lee M, Choi Y, Kim J. A 500-MHz, 0.76-W/mm Power Density and 76.2% Power Efficiency, Fully Integrated Digital Buck Converter in 65-nm CMOS Ieee Transactions On Industry Applications. 52: 3315-3323. DOI: 10.1109/Tia.2016.2541079  0.478
2016 Lee J, Kim J. Efficient Global Optimization of Analog Circuits Using Predictive Response Surface Models on Discretized Design Space Ieee Design & Test of Computers. 33: 16-27. DOI: 10.1109/Mdat.2016.2580667  0.418
2015 Lee J, Kim J. Investigations on the Optimal Support Vector Machine Classifiers for Predicting Design Feasibility in Analog Circuit Optimization Journal of Semiconductor Technology and Science. 15: 437-444. DOI: 10.5573/Jsts.2015.15.5.437  0.36
2015 Baek SH, Jung SY, Kim J. Design of low-power and low-latency 256-radix crossbar switch using hyper-x network topology Journal of Semiconductor Technology and Science. 15: 78-84. DOI: 10.5573/Jsts.2015.15.1.077  0.461
2015 Lee Y, Kang T, Kim J. A 9-11-Bit Phase-Interpolating Digital Pulsewidth Modulator With 1000x Frequency Range Ieee Transactions On Industry Applications. 51: 3376-3384. DOI: 10.1109/Tia.2015.2411656  0.513
2015 Kim C, Lee J, Kim J, Kim J, Lee J. GIDL Characteristics in Gated-Diode Memory String and Its Application to Current-Steering Digital-to-Analog Conversion Ieee Transactions On Electron Devices. 62: 3272-3277. DOI: 10.1109/Ted.2015.2469672  0.339
2015 Jang J, Kim J. PPV-Based Modeling and Event-Driven Simulation of Injection-Locked Oscillators in SystemVerilog Ieee Transactions On Circuits and Systems I: Regular Papers. DOI: 10.1109/Tcsi.2015.2418891  0.349
2015 Lim BC, Jang J, Mao J, Kim J, Horowitz M. Digital Analog Design: Enabling Mixed-Signal System Validation Ieee Design & Test of Computers. 32: 44-52. DOI: 10.1109/Mdat.2014.2361718  0.548
2015 Takamiya M, Wu JT, Ryynanen J, Okada K, Kim J. Introduction to the December Special Issue on the 2015 IEEE International Solid-State Circuits Conference Ieee Journal of Solid-State Circuits. DOI: 10.1109/Jssc.2015.2496978  0.356
2015 Hwang GT, Yang J, Yang SH, Lee HY, Lee M, Park DY, Han JH, Lee SJ, Jeong CK, Kim J, Park KI, Lee KJ. A reconfigurable rectified flexible energy harvester via solid-state single crystal grown PMN-PZT Advanced Energy Materials. 5. DOI: 10.1002/Aenm.201500051  0.332
2014 Lee S, Kim J. A 256-Radix Crossbar Switch Using Mux-Matrix-Mux Folded-Clos Topology Journal of Semiconductor Technology and Science. 14: 760-767. DOI: 10.5573/Jsts.2014.14.6.760  0.441
2014 Yoo B, Bae W, Han J, Kim J, Jeong D. Linearization Technique for Binary Phase Detectors in a Collaborative Timing Recovery Circuit Ieee Transactions On Very Large Scale Integration Systems. 22: 1226-1237. DOI: 10.1109/Tvlsi.2013.2269616  0.346
2014 Kim H, Yoon CS, Jeong D, Kim J. A Single-Inductor, Multiple-Channel Current-Balancing LED Driver for Display Backlight Applications Ieee Transactions On Industry Applications. 50: 4077-4081. DOI: 10.1109/Tia.2014.2346707  0.378
2014 Jung S, Lee J, Kim J. Yield-Aware Pareto Front Extraction for Discrete Hierarchical Optimization of Analog Circuits Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 33: 1437-1449. DOI: 10.1109/Tcad.2014.2331563  0.325
2014 Jung S, Lee J, Kim J. Variability-Aware, Discrete Optimization for Analog Circuits Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 33: 1117-1130. DOI: 10.1109/Tcad.2014.2313452  0.344
2014 Ryu S, Yeo H, Lee Y, Son S, Kim J. A 9.2 GHz Digital Phase-Locked Loop With Peaking-Free Transfer Function Ieee Journal of Solid-State Circuits. 49: 1773-1784. DOI: 10.1109/Jssc.2014.2312412  0.504
2014 Yang J, Lee S, Kim J. Maximum energy transfer condition for piezoelectric energy harvesters with single pulsed vibration inputs Electronics Letters. 50: 629-631. DOI: 10.1049/El.2014.0292  0.362
2013 Youn S, Kim J. Preventing global convergence failure in mixed-signal systems via indeterminate state ('X') elimination Ieee Transactions On Circuits and Systems I: Regular Papers. 60: 2561-2571. DOI: 10.1109/Tcsi.2013.2244329  0.447
2013 Park MJ, Kim J. Pseudo-linear analysis of bang-bang controlled timing circuits Ieee Transactions On Circuits and Systems I: Regular Papers. 60: 1381-1394. DOI: 10.1109/Tcsi.2012.2220502  0.398
2013 Son S, Kim HS, Park MJ, Kim K, Chen EH, Leibowitz B, Kim J. A 2.3-mW, 5-Gb/s Low-Power Decision-Feedback Equalizer Receiver Front-End and its Two-Step, Minimum Bit-Error-Rate Adaptation Algorithm Ieee Journal of Solid-State Circuits. 48: 2693-2704. DOI: 10.1109/Jssc.2013.2274904  0.455
2011 Kim J, Chen EH, Ren J, Leibowitz BS, Satarzadeh P, Zerbe JL, Yang CKK. Equalizer design and performance trade-offs in ADC-based serial links Ieee Transactions On Circuits and Systems I: Regular Papers. 58: 2096-2107. DOI: 10.1109/Tcsi.2011.2162465  0.396
2010 Kim J, Jones KD, Horowitz MA. Fast, Non-Monte-Carlo Estimation of Transient Performance Variation Due to Device Mismatch Ieee Transactions On Circuits and Systems. 57: 1746-1755. DOI: 10.1109/Tcsi.2009.2035418  0.435
2009 Kim J. On-Chip Measurement of Jitter Transfer and Supply Sensitivity of PLL/DLLs Ieee Transactions On Circuits and Systems Ii-Express Briefs. 56: 449-453. DOI: 10.1109/Tcsii.2009.2020941  0.469
2009 Kim J. Adaptive-Bandwidth Phase-Locked Loop With Continuous Background Frequency Calibration Ieee Transactions On Circuits and Systems Ii-Express Briefs. 56: 205-209. DOI: 10.1109/Tcsii.2008.2011601  0.446
2009 Kim J, Kim J, Lee B, Jeong D. Design Optimization of On-Chip Inductive Peaking Structures for 0.13- $\mu{\hbox {m}}$ CMOS 40-Gb/s Transmitter Circuits Ieee Transactions On Circuits and Systems. 56: 2544-2555. DOI: 10.1109/Tcsi.2009.2023772  0.454
2009 Kim J, Kim J, Kim G, Jeong D. A Fully Integrated 0.13- $\mu$ m CMOS 40-Gb/s Serial Link Transceiver Ieee Journal of Solid-State Circuits. 44: 1510-1521. DOI: 10.1109/Jssc.2009.2017973  0.474
2009 Hwang M-, Kim J, Jeong D-. Reduction of pump current mismatch in charge-pump PLL Electronics Letters. 45: 135-136. DOI: 10.1049/El:20092727  0.397
2007 Choe W, Lee B, Kim J, Jeong D, Kim G. A Single-Pair Serial Link for Mobile Displays With Clock Edge Modulation Scheme Ieee Journal of Solid-State Circuits. 42: 2012-2020. DOI: 10.1109/Jssc.2007.903038  0.482
2006 Kim J, Kim J, Lee B, Kim N, Jeong D, Kim W. A 20-GHz phase-locked loop for 40-gb/s serializing transmitter in 0.13-/spl mu/m CMOS Ieee Journal of Solid-State Circuits. 41: 899-908. DOI: 10.1109/Jssc.2006.870766  0.428
2006 Alon E, Kim J, Pamarti S, Chang K, Horowitz M. Replica Compensated Linear Regulators for Supply-Regulated Phase-Locked Loops Ieee Journal of Solid-State Circuits. 41: 413-424. DOI: 10.1109/Jssc.2005.862347  0.648
2005 Lee H, Hwang M, Lee B, Kim Y, Oh D, Kim J, Lee S, Jeong D, Kim W. A 1.2-V-only 900-mW 10 gb ethernet transceiver and XAUI interface with robust VCO tuning technique Ieee Journal of Solid-State Circuits. 40: 2148-2158. DOI: 10.1109/Jssc.2005.857360  0.479
2003 Kim J, Horowitz MA, Wei G. Design of CMOS adaptive-bandwidth PLL/DLLs: a general approach Ieee Transactions On Circuits and Systems Ii: Analog and Digital Signal Processing. 50: 860-869. DOI: 10.1109/Tcsii.2003.819120  0.664
2003 Kim J, Jeong D. Multi-gigabit-rate clock and data recovery based on blind oversampling Ieee Communications Magazine. 41: 68-74. DOI: 10.1109/Mcom.2003.1252801  0.361
2002 Kim J, Horowitz MA. An efficient digital sliding controller for adaptive power-supply regulation Ieee Journal of Solid-State Circuits. 37: 639-647. DOI: 10.1109/4.997858  0.475
Show low-probability matches.