Darko Kirovski, Ph.D. - Publications

Affiliations: 
2001 University of California, Los Angeles, Los Angeles, CA 
Area:
complex distributed systems; embedded systems; communication designs; computer-aided design; ad hoc sensor networks; computational security; electronic commerce; IP protection

29 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2013 Shin JY, Sirer EG, Weatherspoon H, Kirovski D. On the feasibility of completely wirelesss datacenters Ieee/Acm Transactions On Networking. 21: 1666-1679. DOI: 10.1109/Tnet.2013.2274480  0.467
2012 Shin JY, Sirer EG, Weatherspoon H, Kirovski D. On the feasibility of completely wireless datacenters Ancs 2012 - Proceedings of the 8th Acm/Ieee Symposium On Architectures For Networking and Communications Systems. 3-14. DOI: 10.1145/2396556.2396560  0.377
2011 Dejean G, Lakafosis V, Traille A, Lee H, Gebara E, Tentzeris M, Kirovski D. RFDNA: A wireless authentication system on flexible substrates Proceedings - Electronic Components and Technology Conference. 1332-1337. DOI: 10.1109/ECTC.2011.5898684  0.312
2010 Drinic̀ M, Kirovski D, Yuan L, Qu G, Potkonjak M. Field division routing Eurasip Journal On Wireless Communications and Networking. 2010. DOI: 10.1155/2010/560797  0.749
2007 Drinić M, Kirovski D, Vo H. PPMexe: Program compression Acm Transactions On Programming Languages and Systems. 29. DOI: 10.1145/1180475.1180478  0.743
2007 Kirovski D, Petitcolas FAP, Landau Z. The replacement attack Ieee Transactions On Audio, Speech and Language Processing. 15: 1922-1931. DOI: 10.1109/Tasl.2007.900088  0.333
2007 Kirovski D, Landau Z. Generalized Lempel-Ziv compression for audio Ieee Transactions On Audio, Speech and Language Processing. 15: 509-518. DOI: 10.1109/Tasl.2006.881687  0.309
2006 Kirovski D, Hwang YY, Potkonjak M, Cong J. Protecting combinational logic synthesis solutions Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 25: 2687-2696. DOI: 10.1109/Tcad.2006.882490  0.652
2006 Drinić M, Kirovski D, Megerian S, Potkonjak M. Latency-guided on-chip bus-network design Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 25: 2663-2673. DOI: 10.1109/Tcad.2006.882488  0.755
2005 Kirovski D, Drinić M, Potkonjak M. Engineering change protocols for behavioral and system synthesis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 24: 1145-1155. DOI: 10.1109/Tcad.2005.850898  0.773
2004 Wong JL, Kirovski D, Potkonjak M. Computational forensic techniques for intellectual property protection Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 23: 987-994. DOI: 10.1109/Tcad.2004.828122  0.728
2004 Drinić M, Kirovski D. A hardware-software platform for intrusion prevention Proceedings of the Annual International Symposium On Microarchitecture, Micro. 233-242.  0.328
2003 Kirovski D, Petitcolas FAP. Blind pattern matching attack on watermarking systems Ieee Transactions On Signal Processing. 51: 1045-1053. DOI: 10.1109/Tsp.2003.809383  0.322
2003 Kirovski D, Potkonjak M. Local watermarks: Methodology and application to behavioral synthesis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 22: 1277-1284. DOI: 10.1109/Tcad.2003.816208  0.635
2003 Drinić M, Kirovski D, Potkonjak M. PPM model cleaning Data Compression Conference Proceedings. 2003: 163-172. DOI: 10.1109/DCC.2003.1194007  0.465
2003 Drinić M, Kirovski D, Potkonjak M. Model-based compression in wireless ad hoc networks Sensys'03: Proceedings of the First International Conference On Embedded Networked Sensor Systems. 231-242.  0.553
2002 Kirovski D, Drinić M, Potkonjak M. Enabling trusted software integrity International Conference On Architectural Support For Programming Languages and Operating Systems - Asplos. 108-120. DOI: 10.1145/635508.605409  0.328
2002 Drinić M, Kirovski D. Behavioral synthesis via engineering change Proceedings - Design Automation Conference. 18-21.  0.397
2001 Koushanfar F, Kirovski D, Hong I, Potkonjak M, Papaefthymiou MC. Symbolic debugging of embedded hardware and software Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 20: 392-401. DOI: 10.1109/43.913757  0.749
2001 Meguerdichian S, Drinic M, Kirovski D. Latency-driven design of multi-purpose systems-on-chip Proceedings - Design Automation Conference. 27-30.  0.811
2001 Kirovski D, Drinic M, Potkonjak M. Hypermedia-Aided design Proceedings - Design Automation Conference. 407-412.  0.794
2000 Kirovski D, Potkonjak M, Guerra LM. Cut-based functional debugging for programmable systems-on-chip Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 8: 40-51. DOI: 10.1109/92.820760  0.656
2000 Drinic M, Kirovski D, Meguerdichian S, Potkonjak M. Latency-guided on-chip bus network design Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers. 420-423.  0.807
2000 Koushanfar F, Kirovski D, Potkonjak M. Symbolic debugging scheme for optimized hardware and software Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers. 40-43.  0.315
1999 Hong I, Kirovski D, Qu G, Potkonjak M, Srivastava MB. Power optimization of variable-voltage core-based systems Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 18: 1702-1714. DOI: 10.1109/43.811318  0.78
1999 Kirovski D, Potkonjak M, Guerra LM. Improving the observability and controllability of datapaths for emulation-based debugging Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 18: 1529-1541. DOI: 10.1109/43.806800  0.673
1999 Kirovski D, Lee C, Potkonjak M, Mangione-Smith WH. Application-driven synthesis of memory-intensive systems-on-chip Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 18: 1316-1326. DOI: 10.1109/43.784123  0.769
1998 Hong I, Kirovski D, Kornegay K, Potkonjak M. High-level synthesis techniques for functional test pattern execution Integration. 25: 161-180. DOI: 10.1016/S0167-9260(98)00012-1  0.788
1998 Hong I, Kirovski D, Kornegay K, Potkonjak M. High-level synthesis techniques for functional test pattern execution Integration, the Vlsi Journal. 25: 161-180.  0.405
Show low-probability matches.