Jacob A. Abraham - Publications

Affiliations: 
1975-1988 University of Illinois, Urbana-Champaign, Urbana-Champaign, IL 
 1988- Electrical and Computer Engineering University of Texas at Austin, Austin, Texas, U.S.A. 
Area:
Electronics and Electrical Engineering
Website:
https://www.ece.utexas.edu/people/faculty/jacob-abraham

110/277 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Kim B, Abraham JA. Built-in Harmonic Prediction Scheme for Embedded Segmented-Data-Converters Ieee Access. 8: 7851-7860. DOI: 10.1109/Access.2020.2964632  0.399
2019 Kim B, Abraham JA. Spectral Leakage-Driven Loopback Scheme for Prediction of Mixed-Signal Circuit Specifications Ieee Transactions On Industrial Electronics. 66: 586-594. DOI: 10.1109/Tie.2018.2829667  0.372
2019 Banerjee S, Samynathan B, Abraham J, Chatterjee A. Real-Time Error Detection in Nonlinear Control Systems Using Machine Learning Assisted State-Space Encoding Ieee Transactions On Dependable and Secure Computing. 1-1. DOI: 10.1109/Tdsc.2019.2903049  0.592
2018 Cheng E, Mirkhani S, Szafaryn LG, Cher C, Cho H, Skadron K, Stan MR, Lilja K, Abraham JA, Bose P, Mitra S. Tolerating Soft Errors in Processor Cores Using CLEAR (Cross-Layer Exploration for Architecting Resilience) Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 37: 1839-1852. DOI: 10.1109/Tcad.2017.2752705  0.39
2017 Fang J, Thirunakkarasu S, Yu X, Silva-Rivas F, Zhang C, Singor F, Abraham J. A 5-GS/s 10-b 76-mW Time-Interleaved SAR ADC in 28 nm CMOS Ieee Transactions On Circuits and Systems. 64: 1673-1683. DOI: 10.1109/Tcsi.2017.2661481  0.349
2017 Zahir Z, Banerjee G, Zeidan MA, Abraham JA. A multi-band low noise amplifier with strong immunity to interferers Analog Integrated Circuits and Signal Processing. 93: 13-27. DOI: 10.1007/S10470-017-1020-5  0.31
2015 Jang EJ, Chung J, Abraham JA. Delay defect diagnosis methodology using path delay measurements Ieice Transactions On Electronics. 991-994. DOI: 10.1587/Transele.E98.C.991  0.358
2015 Raja I, Banerjee G, Zeidan MA, Abraham JA. A 0.1-3.5-GHz Duty-Cycle Measurement and Correction Technique in 130-nm CMOS Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. DOI: 10.1109/Tvlsi.2015.2478804  0.358
2015 Lee HC, Abraham JA. Digital Calibration for 8-bit Delay Line ADC Using Harmonic Distortion Correction Journal of Electronic Testing: Theory and Applications (Jetta). 31: 127-138. DOI: 10.1007/S10836-015-5516-6  0.355
2014 Mirkhani S, Abraham JA. Fast evaluation of test vector sets using a simulation-based statistical metric Proceedings of the Ieee Vlsi Test Symposium. DOI: 10.1109/VTS.2014.6818739  0.34
2014 Kim B, Abraham JA. Bitstream-driven built-in characterization for analog and mixed-signal embedded circuits Ieee Transactions On Circuits and Systems Ii: Express Briefs. 61: 743-747. DOI: 10.1109/Tcsii.2014.2335436  0.37
2014 Kim B, Abraham JA. Dynamic performance characterization of embedded single-ended mixed-signal circuits Ieee Transactions On Circuits and Systems Ii: Express Briefs. 61: 329-333. DOI: 10.1109/Tcsii.2014.2312639  0.433
2014 Kim B, Abraham JA. Designing nonlinearity characterization for mixed-signal circuits in system-on-chip Analog Integrated Circuits and Signal Processing. 82: 341-348. DOI: 10.1007/S10470-014-0461-3  0.386
2013 Chung J, Abraham JA. Concurrent path selection algorithm in statistical timing analysis Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 21: 1715-1726. DOI: 10.1109/Tvlsi.2012.2218136  0.409
2013 Chung J, Park J, Abraham JA. A built-in repair analyzer with optimal repair rate for word-oriented memories Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 21: 281-291. DOI: 10.1109/Tvlsi.2011.2182217  0.421
2013 Prabhu M, Abraham JA. Application of under-approximation techniques to functional test generation targeting hard to detect stuck-at faults Proceedings - International Test Conference. DOI: 10.1109/TEST.2013.6651915  0.372
2013 Kim B, Abraham JA. Capacitor-coupled built-off self-test in analog and mixed-signal embedded systems Ieee Transactions On Circuits and Systems Ii: Express Briefs. 60: 257-261. DOI: 10.1109/Tcsii.2013.2251953  0.389
2013 Park J, Chaudhari A, Abraham JA. Non-speculative double-sampling technique to increase energy-efficiency in a high-performance processor Proceedings -Design, Automation and Test in Europe, Date. 254-257.  0.334
2012 Viswanath V, Abraham JA. Automatic and correct register transfer level annotations for low power microprocessor design Journal of Low Power Electronics. 8: 424-439. DOI: 10.1166/Jolpe.2012.1204  0.391
2012 Pu X, Nagaraj K, Abraham JA, Thomsen A. A novel fractional-N PLL based on a simple reference multiplier Journal of Circuits, Systems, and Computers. 21: 1240010. DOI: 10.1142/S0218126612400105  0.337
2012 Kim B, Abraham JA. Imbalance-based self-test for high-speed mixed-signal embedded systems Ieee Transactions On Circuits and Systems Ii: Express Briefs. 59: 785-789. DOI: 10.1109/Tcsii.2012.2220693  0.368
2012 Zeidan MA, Banerjee G, Gharpurey R, Abraham JA. Phase-aware multitone digital signal based test for RF receivers Ieee Transactions On Circuits and Systems I: Regular Papers. 59: 2097-2110. DOI: 10.1109/Tcsi.2012.2185309  0.383
2012 Chung J, Abraham JA. On computing criticality in refactored timing graphs Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 31: 1935-1939. DOI: 10.1109/Tcad.2012.2213819  0.363
2012 Chung J, Xiong J, Zolotov V, Abraham JA. Testability-driven statistical path selection Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 31: 1275-1287. DOI: 10.1109/Tcad.2012.2190067  0.356
2012 Chung J, Xiong J, Zolotov V, Abraham JA. Path criticality computation in parameterized statistical timing analysis using a novel operator Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 31: 497-508. DOI: 10.1109/Tcad.2011.2179042  0.324
2012 Chung J, Abraham JA. Refactoring of timing graphs and its use in capturing topological correlation in SSTA Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 31: 485-496. DOI: 10.1109/Tcad.2011.2176731  0.394
2012 Prabhu M, Abraham JA. Functional test generation for hard to detect stuck-at faults using RTL model checking Proceedings - 2012 17th Ieee European Test Symposium, Ets 2012. DOI: 10.1109/ETS.2012.6233016  0.306
2012 Mohammad B, Abraham J. A reduced voltage swing circuit using a single supply to enable lower voltage operation for SRAM-based memory Microelectronics Journal. 43: 110-118. DOI: 10.1016/J.Mejo.2011.11.006  0.648
2012 Dasnurkar SD, Abraham JA. Calibration enabled scalable current Sensor module for quiescent current testing Journal of Electronic Testing: Theory and Applications (Jetta). 28: 697-704. DOI: 10.1007/S10836-012-5327-Y  0.421
2012 Kim HJ, Abraham JA. A Built-In Self-Test scheme for memory interfaces timing Test and measurement Journal of Electronic Testing: Theory and Applications (Jetta). 28: 585-597. DOI: 10.1007/S10836-012-5324-1  0.358
2012 Zhang C, Gharpurey R, Abraham JA. Built-in self test of RF subsystems with integrated detectors Journal of Electronic Testing: Theory and Applications (Jetta). 28: 557-569. DOI: 10.1007/S10836-012-5315-2  0.61
2011 Kim B, Abraham JA. Transformer-coupled loopback test for differential mixed-signal dynamic specifications Ieee Transactions On Instrumentation and Measurement. 60: 2014-2024. DOI: 10.1109/Tim.2011.2113128  0.409
2011 Kim B, Abraham JA. Efficient loopback test for aperture jitter in embedded mixed-signal circuits Ieee Transactions On Circuits and Systems I: Regular Papers. 58: 1773-1784. DOI: 10.1109/Tcsi.2011.2106030  0.386
2011 Vemu R, Abraham J. CEDA: Control-flow error detection using assertions Ieee Transactions On Computers. 60: 1233-1245. DOI: 10.1109/Tc.2011.101  0.784
2011 Park J, Shin H, Abraham JA. Pseudorandom test of nonlinear analog and mixed-signal circuits based on a volterra series model Journal of Electronic Testing: Theory and Applications (Jetta). 27: 321-334. DOI: 10.1007/S10836-011-5227-6  0.389
2011 Han K, Park J, Lee JW, Chung J, Byun E, Woo CJ, Oh S, Abraham JA. Off-chip Skew Measurement and Compensation Module (SMCM) design for built-off test chip Journal of Electronic Testing: Theory and Applications (Jetta). 27: 429-439. DOI: 10.1007/S10836-011-5213-Z  0.439
2010 Chung J, Park J, Abraham JA, Byun E, Woo CJ. Reducing test time and area overhead of an embedded memory array built-in repair analyzer with optimal repair rate Proceedings of the Ieee Vlsi Test Symposium. 33-38. DOI: 10.1109/VTS.2010.5469625  0.337
2010 Dasnurkar SD, Abraham JA. Calibration-enabled scalable built-in current sensor compatible with Very Low Cost ATE 2010 15th Ieee European Test Symposium, Ets'10. 119-124. DOI: 10.1109/ETSYM.2010.5512770  0.343
2010 Datta R, Sebastine A, Raghunathan A, Carpenter G, Nowka K, Abraham JA. On-chip delay measurement based response analysis for timing characterization Journal of Electronic Testing: Theory and Applications (Jetta). 26: 599-619. DOI: 10.1007/S10836-010-5188-1  0.572
2010 Shin H, Park J, Abraham JA. Spectral prediction for specification-based loopback test of embedded mixed-signal circuits Journal of Electronic Testing: Theory and Applications (Jetta). 26: 73-86. DOI: 10.1007/S10836-009-5136-0  0.563
2009 Viswanath V, Vasudevan S, Abraham JA. Dedicated rewriting: Automatic verification of low power transformations in Register Transfer Level Journal of Low Power Electronics. 5: 339-353. DOI: 10.1166/Jolpe.2009.1034  0.58
2009 Sundareswaran S, Abraham JA, Panda R, Ardelea A. Characterization of standard cells for intra-cell mismatch variations Ieee Transactions On Semiconductor Manufacturing. 22: 40-49. DOI: 10.1109/Tsm.2008.2011666  0.656
2009 Sambamurthy S, Gurumurthy S, Vemu R, Abraham JA. Functionally valid gate-level peak power estimation for processors Proceedings of the 10th International Symposium On Quality Electronic Design, Isqed 2009. 753-758. DOI: 10.1109/ISQED.2009.4810387  0.747
2009 Sundareswaran S, Abraham JA, Panda R, Zhang Y, Mittal A. Characterization of Sequential Cells for Constraint Sensitivities Proceedings of the 10th International Symposium On Quality Electronic Design, Isqed 2009. 74-79. DOI: 10.1109/ISQED.2009.4810272  0.649
2009 Park J, Madhavapeddi S, Paglieri A, Barr C, Abraham JA. Defect-based analog fault coverage analysis using mixed-mode fault simulation 2009 Ieee 15th International Mixed-Signals, Sensors, and Systems Test Workshop, Ims3tw '09. DOI: 10.1109/IMS3TW.2009.5158688  0.301
2009 Han K, Park J, Lee JW, Abraham JA, Byun E, Woo CJ, Oh S. Low-complexity off-chip skew measurement and compensation module (SMCM) design for built-off test chip Proceedings of the 14th Ieee European Test Symposium, Ets 2009. 129-134. DOI: 10.1109/ETS.2009.20  0.343
2009 Park J, Chung J, Abraham JA. LFSR-based performance characterization of nonlinear analog and mixed-signal circuits Proceedings of the Asian Test Symposium. 373-378. DOI: 10.1109/ATS.2009.66  0.302
2009 Tayade R, Abraham JA. Critical path selection for delay test considering coupling noise Proceedings of the 14th Ieee European Test Symposium, Ets 2009. 163-168. DOI: 10.1007/S10836-009-5105-7  0.739
2008 Gurumurthy S, Vemu R, Abraham JA, Natarajan S. On efficient generation of instruction sequences to test for delay defects in a processor Proceedings of the Acm Great Lakes Symposium On Vlsi, Glsvlsi. 279-284. DOI: 10.1145/1366110.1366178  0.781
2008 Dou Q, Abraham JA. Low-cost test of timing mismatch among time-interleaved A/D converters in high-speed communication systems Proceedings of the Ieee Vlsi Test Symposium. 3-8. DOI: 10.1109/VTS.2008.57  0.51
2008 Park J, Shin H, Abraham JA. Parallel loopback test of mixed-signal circuits Proceedings of the Ieee Vlsi Test Symposium. 309-316. DOI: 10.1109/VTS.2008.53  0.332
2008 Sambamurthy S, Abraham JA, Tupuri RS. A robust top-down dynamic power estimation methodology for delay constrained register transfer level sequential circuits Proceedings of the Ieee International Frequency Control Symposium and Exposition. 521-526. DOI: 10.1109/VLSI.2008.56  0.311
2008 Vemu R, Gurumurthy S, Abraham JA. ACCE: Automatic correction of control-flow errors Proceedings - International Test Conference. DOI: 10.1109/TEST.2007.4437639  0.768
2008 Sundareswaran S, Nechanicka L, Panda R, Gavrilov S, Solovyev R, Abraham JA. A timing methodology considering within-die clock skew variations 2008 Ieee International Soc Conference, Socc. 351-356. DOI: 10.1109/SOCC.2008.4641543  0.667
2008 Yang Y, Sculley T, Abraham J. A Single-Die 124 dB Stereo Audio Delta-Sigma ADC With 111 dB THD Ieee Journal of Solid-State Circuits. 43: 1657-1665. DOI: 10.1109/Jssc.2008.923731  0.376
2008 Vemu R, Abraham JA. Budget-dependent control-flow error detection Proceedings - 14th Ieee International On-Line Testing Symposium, Iolts 2008. 73-78. DOI: 10.1109/IOLTS.2008.52  0.797
2008 Dou Q, Abraham JA. Jitter decomposition in high-speed communication systems Proceedings - 13th Ieee European Test Symposium, Ets 2008. 157-162. DOI: 10.1109/ETS.2008.35  0.518
2008 Vemu R, Jas A, Abraham JA, Patil S, Galivanche R. A low-cost concurrent error detection technique for processor control logic Proceedings -Design, Automation and Test in Europe, Date. 897-902. DOI: 10.1109/DATE.2008.4484788  0.796
2008 Tayade R, Abraham J. Small-delay defect detection in the presence of process variations Microelectronics Journal. 39: 1093-1100. DOI: 10.1016/J.Mejo.2008.01.003  0.757
2008 Datta R, Gupta R, Sebastine A, Abraham JA, D'Abreu M. Controllability of static CMOS circuits for timing characterization Journal of Electronic Testing: Theory and Applications (Jetta). 24: 481-496. DOI: 10.1007/S10836-007-5059-6  0.612
2008 Datta R, Abraham JA, Utku Diril A, Chatterjee A, Nowka KJ. Performance-optimized design for parametric reliability Journal of Electronic Testing: Theory and Applications (Jetta). 24: 129-141. DOI: 10.1007/S10836-007-5001-Y  0.624
2008 Vasudevan S, Viswanath V, Abraham JA, Tu J. Sequential equivalence checking between system level and RTL descriptions Design Automation For Embedded Systems. 12: 377-396. DOI: 10.1007/S10617-008-9033-Z  0.598
2007 Vasudevan S, Viswanath V, Sumners RW, Abraham JA. Automatic verification of arithmetic circuits in RTL using stepwise refinement of term rewriting systems Ieee Transactions On Computers. 56: 1401-1414. DOI: 10.1109/Tc.2007.1073  0.721
2007 Vasudevan S, Emerson EA, Abraham JA. Improved verification of hardware designs through antecedent conditioned slicing International Journal On Software Tools For Technology Transfer. 9: 89-101. DOI: 10.1007/S10009-006-0022-X  0.579
2005 Vasudevan S, Emerson EA, Abraham JA. Efficient model checking of hardware using conditioned slicing Electronic Notes in Theoretical Computer Science. 128: 279-294. DOI: 10.1016/J.Entcs.2005.04.017  0.564
2004 Roh J, Abraham JA. Subband Filtering for Time and Frequency Analysis of Mixed-Signal Circuit Testing Ieee Transactions On Instrumentation and Measurement. 53: 602-611. DOI: 10.1109/Tim.2003.820494  0.502
2003 Hwang S, Abraham JA. Test data compression and test time reduction using an embedded microprocessor Ieee Transactions On Very Large Scale Integration Systems. 11: 853-862. DOI: 10.1109/Tvlsi.2003.817140  0.411
2003 Roh J, Abraham JA. A comprehensive signature analysis scheme for oscillation-test Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 22: 1409-1423. DOI: 10.1109/Tcad.2003.818133  0.541
2003 Vedula VM, Abraham JA, Bhadra J, Tupuri R. A hierarchical test generation approach using program slicing techniques on hardware description languages Journal of Electronic Testing: Theory and Applications (Jetta). 19: 149-160. DOI: 10.1023/A:1022885523034  0.789
2001 Krishnamurthy N, Abadir MS, Martin AK, Abraham JA. Design and development paradigm for industrial formal verification CAD tools Ieee Design & Test of Computers. 18: 26-35. DOI: 10.1109/54.936246  0.383
2001 Seshadri S, Abraham JA. Frequency Response Verification of Analog Circuits Using Global Optimization Techniques Journal of Electronic Testing. 17: 395-408. DOI: 10.1023/A:1012751118746  0.38
2001 Bhadra J, Martin A, Abraham JA, Abadir MS. Using Abstract Specifications to Verify PowerPCTM Custom Memories by Symbolic Trajectory Evaluation Lecture Notes in Computer Science. 386-402. DOI: 10.1007/3-540-44798-9_30  0.619
2000 Krishnamurthy N, Martin AK, Abadir MS, Abraham JA. Validating PowerPC microprocessor custom memories Ieee Design & Test of Computers. 17: 61-76. DOI: 10.1109/54.895007  0.4
1999 Alkhalifa Z, Nair VSS, Krishnamurthy N, Abraham JA. Design and evaluation of system-level checks for on-line control flow error detection Ieee Transactions On Parallel and Distributed Systems. 10: 627-641. DOI: 10.1109/71.774911  0.433
1999 Mukherjee R, Jain J, Takayama K, Fujita M, Abraham JA, Fussell DS. An efficient filter-based approach for combinational verification Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 18: 1542-1557. DOI: 10.1109/43.806801  0.458
1998 Nagi N, Chatterjee A, Yoon H, Abraham JA. Signature analysis for analog and mixed-signal circuit test response compaction Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 17: 540-546. DOI: 10.1109/43.703834  0.376
1998 Moundanos D, Abraham JA, Hoskote YV. Abstraction techniques for validation coverage analysis and test generation Ieee Transactions On Computers. 47: 2-14. DOI: 10.1109/12.656068  0.474
1998 Shen J, Abraham JA. Synthesis of Native Mode Self-Test Programs Journal of Electronic Testing. 13: 137-148. DOI: 10.1023/A:1008305820979  0.443
1997 Jain J, Bitner J, Abadir MS, Abraham JA, Fussell DS. Indexed BDDs: Algorithmic advances in techniques to represent and verify Boolean functions Ieee Transactions On Computers. 46: 1230-1245. DOI: 10.1109/12.644298  0.355
1996 Balivada A, Chen J, Abraham J. Analog testing with time response parameters Ieee Design & Test of Computers. 13: 18-25. DOI: 10.1109/54.500197  0.424
1996 Saab DG, Saab YG, Abraham JA. Automatic test vector cultivation for sequential VLSI circuits using genetic algorithms Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 15: 1278-1285. DOI: 10.1109/43.541447  0.48
1996 Nair VSS, Abraham JA, Banerjee P. Efficient techniques for the analysis of algorithm-based fault tolerance (ABFT) schemes Ieee Transactions On Computers. 45: 499-503. DOI: 10.1109/12.494110  0.397
1996 Nagi N, Abraham JA. Hierarchical fault modeling for linear analog circuits Analog Integrated Circuits and Signal Processing. 10: 89-99. DOI: 10.1007/Bf00713981  0.393
1996 Balivada A, Zheng H, Nagi N, Chatterjee A, Abraham JA. A unified approach for fault simulation of linear mixed-signal circuits Journal of Electronic Testing. 9: 29-41. DOI: 10.1007/Bf00137563  0.575
1995 Kanawati GA, Kanawati NA, Abraham JA. FERRARI: a flexible software-based fault and error injection system Ieee Transactions On Computers. 44: 248-260. DOI: 10.1109/12.364536  0.421
1994 Levitt ME, Roy K, Abraham JA. BiCMOS logic testing Ieee Transactions On Very Large Scale Integration Systems. 2: 241-248. DOI: 10.1109/92.285749  0.47
1994 Chang H, Abraham JA. An efficient critical path tracing algorithm for sequential circuits Microprocessing and Microprogramming. 40: 913-916. DOI: 10.1016/0165-6074(94)90068-X  0.406
1993 Narain P, Saab DG, Kunda RP, Abraham JA. A High-Level Approach to Test Generation Ieee Transactions On Circuits and Systems I: Fundamental Theory and Applications. 40: 483-492. DOI: 10.1109/81.257304  0.365
1993 Mueller-Thuns RB, Saab DG, Damianc RF, Abraham JA. Benchmarking Parallel Processing Platforms: An Applications Perspective Ieee Transactions On Parallel and Distributed Systems. 4: 947-954. DOI: 10.1109/71.238628  0.349
1993 Mueller-Thuns RB, Saab DG, Damiano RF, Abraham JA. VLSI Logic and Fault Simulation on General-Purpose Parallel Computers Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 12: 446-460. DOI: 10.1109/43.215006  0.425
1993 Nagi N, Chatterjee A, Abraham JA. Fault simulation of linear analog circuits Journal of Electronic Testing. 4: 345-360. DOI: 10.1007/Bf00972159  0.569
1992 Niermann TM, Roy RK, Patel JH, Abraham JA. Test Compaction for Sequential Circuits Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 11: 260-267. DOI: 10.1109/43.124404  0.449
1992 Nair VSS, Hoskote YV, Abraham JA. Probabilistic evaluation of online checks in fault-tolerant multiprocessor systems Ieee Transactions On Computers. 41: 532-541. DOI: 10.1109/12.142679  0.387
1992 Chen C, Abraham JA. Generation and evaluation of current and logic tests for switch-level sequential circuits Journal of Electronic Testing. 3: 359-366. DOI: 10.1007/Bf00135339  0.432
1991 Chatterjee A, Roy RK, Abraham JA, Patel JH. Efficient testing strategies for bit- and digit-serial arrays used in digital signal processors Digital Signal Processing. 1: 231-244. DOI: 10.1016/1051-2004(91)90115-2  0.432
1991 Chatterjee A, Abraham JA. Test generation, design-for-testability and built-in self-test for arithmetic units based on graph labeling Journal of Electronic Testing. 2: 351-372. DOI: 10.1007/Bf00135230  0.369
1990 Levitt ME, Abraham JA. Physical design of testable VLSI: techniques and experiments Ieee Journal of Solid-State Circuits. 25: 474-481. DOI: 10.1109/4.52172  0.491
1990 Mazumder P, Patel JH, Abraham JA. A Reconfigurable Parallel Signature Analyzer for Concurrent Error Correction in DRAM Ieee Journal of Solid-State Circuits. 25: 866-870. DOI: 10.1109/4.102687  0.391
1990 Banerjee P, Rahmeh JT, Stunkel C, Nair VS, Roy K, Balasubramanian V, Abraham JA. Algorithm-based fault tolerance on a hypercube multiprocessor Ieee Transactions On Computers. 39: 1132-1145. DOI: 10.1109/12.57055  0.407
1990 Nair VSS, Abraham JA. Real-number codes for fault-tolerant matrix operations on processor arrays Ieee Transactions On Computers. 39: 426-435. DOI: 10.1109/12.54836  0.327
1990 Saab DG, Mueller-Thuns RB, Blaauw D, Rahmeh JT, Abraham JA. Hierarchical multi-level fault simulation of large systems Journal of Electronic Testing. 1: 139-149. DOI: 10.1007/Bf00137390  0.395
1988 Jou J-, Abraham JA. Fault-tolerant FFT networks Ieee Transactions On Computers. 37: 548-561. DOI: 10.1109/12.4606  0.4
1987 Rogers WA, Guzolek JF, Abraham JA. Concurrent Hierarchical Fault Simulation: A Performance Model and Two Optimizations Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 6: 848-862. DOI: 10.1109/Tcad.1987.1270328  0.408
1987 Chatterjee A, Abraham JA. On the C-Testability of Generalized Counters Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 6: 713-726. DOI: 10.1109/Tcad.1987.1270317  0.427
1987 Fuchs WK, Chen C-R, Abraham JA. Concurrent error detection in highly structured logic arrays Ieee Journal of Solid-State Circuits. 22: 583-594. DOI: 10.1109/Jssc.1987.1052776  0.418
1986 Shih H, Rahmeh JT, Abraham JA. FAUST: An MOS Fault Simulator with Timing Information Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 5: 557-563. DOI: 10.1109/Tcad.1986.1270226  0.406
1985 Jha NK, Abraham JA. Design of Testable CMOS Logic Circuits Under Arbitrary Delays Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 4: 264-269. DOI: 10.1109/Tcad.1985.1270122  0.468
1984 Banerjee P, Abraham JA. Characterization and Testing of Physical Failures in MOS Logic Circuits Ieee Design & Test of Computers. 1: 76-86. DOI: 10.1109/Mdt.1984.5005655  0.447
1983 Abraham JA, Davidson ES, Patel JH. Memory system design for tolerating single event upsets Ieee Transactions On Nuclear Science. 30: 4339-4344. DOI: 10.1109/Tns.1983.4333134  0.398
1982 Chou TCK, Abraham JA. Load Balancing in Distributed Systems Ieee Transactions On Software Engineering. 8: 401-412. DOI: 10.1109/Tse.1982.235574  0.309
Low-probability matches (unlikely to be authored by this person)
2009 Dasnurkar SD, Abraham JA. Vector based analog to digital converter sequential testing methodology to minimize ATE memory and analysis requirements 2009 Ieee 15th International Mixed-Signals, Sensors, and Systems Test Workshop, Ims3tw '09. DOI: 10.1109/IMS3TW.2009.5158697  0.297
2010 Dasnurkar SD, Abraham JA. PLL lock time prediction and parametric testing by lock waveform characterization Proceedings of the 2010 Ieee 16th International Mixed-Signals, Sensors and Systems Test Workshop, Ims3tw 2010. DOI: 10.1109/IMS3TW.2010.5503002  0.297
2011 Park J, Abraham JA. A fast, accurate and simple critical path monitor for improving energy-delay product in DVS systems Proceedings of the International Symposium On Low Power Electronics and Design. 391-396. DOI: 10.1109/ISLPED.2011.5993672  0.296
2011 Jang EJ, Gattiker A, Nassif S, Abraham JA. Efficient and product-representative timing model validation Proceedings of the Ieee Vlsi Test Symposium. 90-95. DOI: 10.1109/VTS.2011.5783761  0.294
2015 Mirkhani S, Abraham JA. EAGLE: A regression model for fault coverage estimation using a simulation based metric Proceedings - International Test Conference. 2015. DOI: 10.1109/TEST.2014.7035347  0.294
2011 Dasnurkar SD, Abraham JA. Arbitrary waveform generator response shaping method to enable ADC linearity testing on Very Low Cost automatic test equipment Proceedings - 2011 Ieee 17th International Mixed-Signals, Sensors and Systems Test Workshop, Ims3tw 2011. 67-71. DOI: 10.1109/IMS3TW.2011.18  0.294
2013 Zeidan MA, Banerjee G, Abraham JA. Asynchronous measurement of transient phase-shift resulting from rf receiver state-change Ieee Transactions On Circuits and Systems I: Regular Papers. 60: 2740-2751. DOI: 10.1109/Tcsi.2013.2249179  0.293
2009 Chung J, Abraham JA. Recursive path selection for delay fault testing Proceedings of the Ieee Vlsi Test Symposium. 65-70. DOI: 10.1109/VTS.2009.50  0.292
2015 Mirkhani S, Samynathan B, Abraham JA. In-depth soft error vulnerability analysis using synthetic benchmarks Proceedings of the Ieee Vlsi Test Symposium. 2015. DOI: 10.1109/VTS.2015.7116254  0.29
2008 Tayade R, Abraham JA. On-chip programmable capture for accurate path delay test and characterization Proceedings - International Test Conference. DOI: 10.1109/TEST.2008.4700564  0.289
2019 Fang J, Zhang C, Singor FW, Abraham JA. A Broadband CMOS RF Front End for Direct Sampling Satellite Receivers Ieee Journal of Solid-State Circuits. 54: 2140-2148. DOI: 10.1109/Jssc.2019.2915619  0.285
2009 Zhang C, Gharpurey R, Abraham JA. On-line calibration and power optimization of RF systems using a built-in detector Proceedings of the Ieee Vlsi Test Symposium. 285-290. DOI: 10.1109/VTS.2009.23  0.284
2013 Han K, Yang JS, Abraham JA. Enhanced algorithm of combining trace and scan signals in post-silicon validation Proceedings of the Ieee Vlsi Test Symposium. DOI: 10.1109/VTS.2013.6548915  0.283
2013 Han K, Yang JS, Abraham JA. Dynamic trace signal selection for post-silicon validation Proceedings of the Ieee International Conference On Vlsi Design. 302-307. DOI: 10.1109/VLSID.2013.205  0.282
2012 Mirkhani S, Abraham JA, Vo T, Jun H, Eklow B. FALCON: Rapid statistical fault coverage estimation for complex designs Proceedings - International Test Conference. DOI: 10.1109/TEST.2012.6401584  0.282
2012 Kim H, Abraham JA. A built-in self-test scheme for DDR memory output timing test and measurement Proceedings of the Ieee Vlsi Test Symposium. 7-12. DOI: 10.1109/VTS.2012.6231072  0.279
2011 Kim H, Abraham JA. On-chip programmable dual-capture for double data rate interface timing test Proceedings of the Asian Test Symposium. 15-20. DOI: 10.1109/ATS.2011.31  0.273
1987 Fuchs WK, Wu K, Abraham JA. Companson and Diagnosis of Large Replicated Files Ieee Transactions On Software Engineering. 13: 15-22. DOI: 10.1109/Tse.1987.232561  0.271
2010 Chun JH, Lee JW, Abraham JA. A novel characterization technique for high speed I/O mixed signal circuit components using random jitter injection Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 312-317. DOI: 10.1109/ASPDAC.2010.5419875  0.271
2012 Chun JH, Lim SM, Ong SC, Lee JW, Abraham JA. Test of phase interpolators in high speed I/Os using a sliding window search Proceedings of the Ieee Vlsi Test Symposium. 134-139. DOI: 10.1109/VTS.2012.6231092  0.268
1999 Abraham JA, Abramovici M, Ievendel I, Motto S, Nicolaidis M, Zorian Y. AD&T Roundtable: Online Test Ieee Design & Test of Computers. 16: 80-86. DOI: 10.1109/Mdt.1999.748810  0.268
2012 Lee HC, Abraham JA. Testing and fault diagnosis of time-interleaved ΣΔ modulators using checksums Proceedings of the 2012 Ieee 18th International Mixed-Signal, Sensors, and Systems Test Workshop, Ims3tw 2012. 11-16. DOI: 10.1109/IMS3TW.2012.13  0.267
2009 Hu SH, Abraham JA. Error detection in 2-D discrete wavelet lifting transforms 2009 15th Ieee International On-Line Testing Symposium, Iolts 2009. 170-175. DOI: 10.1109/IOLTS.2009.5196003  0.266
2010 Dasnurkar SD, Abraham JA. Real-time dynamic hybrid BiST solution for very-low-cost ATE production testing of A/D converters with controlled DPPM Proceedings of the 11th International Symposium On Quality Electronic Design, Isqed 2010. 562-569. DOI: 10.1109/ISQED.2010.5450520  0.263
2012 Lee JW, Chun JH, Abraham JA. Indirect method for random jitter measurement on SoCs using critical path characterization Proceedings - 2012 17th Ieee European Test Symposium, Ets 2012. DOI: 10.1109/ETS.2012.6233022  0.263
2014 Banerjee S, Chatterjee A, Abraham JA. Real-time correction of dc servo motor and controller failures using analog checksums 19th Annual International Mixed-Signals, Sensors, and Systems Test Workshop, Ims3tw 2014 - Proceedings. DOI: 10.1109/IMS3TW.2014.6997391  0.262
2007 Kim B, Fu Z, Abraham JA. Transformer-coupled loopback test for differential mixed-signal specifications Proceedings of the Ieee Vlsi Test Symposium. 291-296. DOI: 10.1109/VTS.2007.82  0.261
2010 Zeidan MA, Banerjee G, Gharpurey R, Abraham JA. Multitone digital signal based test for RF receivers Proceedings of the Ieee Vlsi Test Symposium. 343-348. DOI: 10.1109/VTS.2010.5469537  0.259
2012 Jang EJ, Gattiker A, Nassif S, Abraham JA. An oscillation-based test structure for timing information extraction Proceedings of the Ieee Vlsi Test Symposium. 74-79. DOI: 10.1109/VTS.2012.6231083  0.255
2008 Zhang C, Abraham JA, Hassibi A. A 6-bit 300-MS/s 2.7D1W ADC based on linear voltage controlled delay line Proceedings of the 2008 Ieee Dallas Circuits and Systems Workshop On System-On-Chip, Soc: Design, Applications, Integration, and Software, Dcas 2008. DOI: 10.1109/DCAS.2008.4695930  0.254
2012 Park J, Ustun HM, Abraham JA. Run-time prediction of the optimal performance point in DVS-based dynamic thermal management Proceedings of the Ieee International Conference On Vlsi Design. 155-160. DOI: 10.1109/VLSID.2012.63  0.252
2010 Abdulla SS, Nam H, Swartzlander EE, Abraham JA. High speed recursion-free CORDIC architecture Proceedings - Ieee International Soc Conference, Socc 2010. 65-70. DOI: 10.1109/SOCC.2010.5784666  0.252
1979 Abraham JA. An Improved Algorithm for Network Reliability Ieee Transactions On Reliability. 1: 58-61. DOI: 10.1109/Tr.1979.5220476  0.251
2007 Ou JC, Saab DG, Abraham JA. HDL program slicing to reduce bounded model checking search overhead Proceedings - International Test Conference. DOI: 10.1109/TEST.2006.297665  0.251
2014 Schlichtmann U, Kleeberger VB, Abraham JA, Evans A, Gimmler-Dumon C, Glaß M, Herkersdorf A, Nassif SR, Wehn N. Connecting different worlds - Technology abstraction for reliability-aware design and Test Proceedings -Design, Automation and Test in Europe, Date. DOI: 10.7873/DATE2014.265  0.248
2009 Tayade R, Abraham JA. Critical path selection for delay testing considering coupling noise Journal of Electronic Testing: Theory and Applications (Jetta). 25: 213-223. DOI: 10.1007/s10836-009-5105-7  0.247
2010 Park J, Lee JW, Chung J, Han K, Abraham JA, Byun E, Woo CJ, Oh S. At-speed test of high-speed DUT using Built-off Test Interface Proceedings of the Asian Test Symposium. 269-274. DOI: 10.1109/ATS.2010.54  0.247
2009 Abdullah SS, Nam H, McDermott M, Abraham JA. A high throughput FFT processor with no multipliers Proceedings - Ieee International Conference On Computer Design: Vlsi in Computers and Processors. 485-490. DOI: 10.1109/ICCD.2009.5413113  0.243
2010 Kim H, Abraham JA. A low cost built-in self-test circuit for high-speed source synchronous memory interfaces Proceedings of the Asian Test Symposium. 123-128. DOI: 10.1109/ATS.2010.30  0.242
2014 Lee HC, Abraham JA. A novel low power 11-bit hybrid ADC using flash and delay line architectures Proceedings -Design, Automation and Test in Europe, Date. DOI: 10.7873/DATE2014.028  0.24
2010 Kim J, Lee J, Abraham JA. Toward reliable SRAM-based device identification Proceedings - Ieee International Conference On Computer Design: Vlsi in Computers and Processors. 313-320. DOI: 10.1109/ICCD.2010.5647724  0.238
1986 Chou TCK, Abraham JA. Distributed Control of Computer Systems Ieee Transactions On Computers. 35: 564-567. DOI: 10.1109/Tc.1986.5009433  0.237
2010 Lee JW, Chun JH, Abraham JA. A delay measurement method using a shrinking clock signal Proceedings of the Acm Great Lakes Symposium On Vlsi, Glsvlsi. 139-142. DOI: 10.1145/1785481.1785515  0.236
2013 Cho H, Mirkhani S, Cher CY, Abraham JA, Mitra S. Quantitative evaluation of soft error injection techniques for robust system dsesign Proceedings - Design Automation Conference. DOI: 10.1145/2463209.2488859  0.235
2012 Dasnurkar SD, Abraham JA. Frequency-independent parametric built in test solution for PLLs with low speed test resources Proceedings of the 2012 Ieee 18th International Mixed-Signal, Sensors, and Systems Test Workshop, Ims3tw 2012. 73-78. DOI: 10.1109/IMS3TW.2012.24  0.235
2008 Zhang C, Gharpurey R, Abraham JA. Low cost RF receiver parameter measurement with on-chip amplitude detectors Proceedings of the Ieee Vlsi Test Symposium. 203-208. DOI: 10.1109/VTS.2008.56  0.234
2013 Banerjee S, Banerjee A, Chatterjee A, Abraham JA. Real-time checking of linear control systems using analog checksums Proceedings of the 2013 Ieee 19th International On-Line Testing Symposium, Iolts 2013. 122-127. DOI: 10.1109/IOLTS.2013.6604062  0.233
2014 Lee HC, Abraham JA. Harmonic distortion correction for 8-bit delay line ADC using gray code Latw 2014 - 15th Ieee Latin-American Test Workshop. DOI: 10.1109/LATW.2014.6841928  0.233
2009 Lee JW, Chun JH, Abraham JA. A random jitter RMS estimation technique for BIST applications Proceedings of the Asian Test Symposium. 9-14. DOI: 10.1109/ATS.2009.38  0.232
2009 Hu SH, Wu TY, Abraham JA. SNR-aware error detection for low-power discrete wavelet lifting transform in JPEG 2000 Proceedings - Ieee International Symposium On Defect and Fault Tolerance in Vlsi Systems. 136-144. DOI: 10.1109/DFT.2009.17  0.226
2011 Wu TY, Hu SH, Abraham JA. Robust power gating reactivation by dynamic wakeup sequence throttling Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 615-620. DOI: 10.1109/ASPDAC.2011.5722263  0.225
2014 Banerjee S, Gomez-Pau A, Chatterjee A, Abraham JA. Error resilient real-time state variable systems for signal processing and control Proceedings of the Asian Test Symposium. 39-44. DOI: 10.1109/ATS.2014.19  0.224
2009 Wu TY, Gharahi S, Abraham JA. An area efficient on-chip static IR drop detector/evaluator Proceedings - Ieee International Symposium On Circuits and Systems. 2009-2012. DOI: 10.1109/ISCAS.2009.5118186  0.221
2009 Chung J, Abraham JA. A hierarchy of subgraphs underlying a timing graph and its use in capturing topological Correlation in SSTA Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers, Iccad. 321-327.  0.218
2012 Venuto DD, Fang W, Abraham J. Guest Editorial Special Issue of IEEE Sensors on the 4th IEEE International Workshop on Advances in Sensors and Interfaces 2011 (IWASI 2011) Ieee Sensors Journal. 12: 3299-3300. DOI: 10.1109/Jsen.2012.2219914  0.217
2017 Vargas E, Barrett DW, Saucedo CL, Huang LD, Abraham JA, Tanaka H, Haley AP, Gonzalez-Lima F. Beneficial neurocognitive effects of transcranial laser in older adults. Lasers in Medical Science. PMID 28466195 DOI: 10.1007/S10103-017-2221-Y  0.216
2011 Jang EJ, Chung J, Gattiker A, Nassif S, Abraham JA. Post-silicon timing validation method using path delay measurements Proceedings of the Asian Test Symposium. 232-237. DOI: 10.1109/ATS.2011.32  0.207
2012 Park J, Abraham JA. An aging-aware flip-flop design based on accurate, run-time failure prediction Proceedings of the Ieee Vlsi Test Symposium. 294-299. DOI: 10.1109/VTS.2012.6231069  0.206
2009 Dasnurkar S, Abraham JA. Hybrid BiST solution for analog to digital converters with low-cost automatic test equipment compatibility Proceedings - Ieee International Symposium On Circuits and Systems. 9-12. DOI: 10.1109/ISCAS.2009.5117672  0.204
2009 Viswanath V, Vasudevan S, Abraham JA. Dedicated rewriting: Automatic verification of low power transformations in RTL Proceedings: 22nd International Conference On Vlsi Design - Held Jointly With 7th International Conference On Embedded Systems. 77-82. DOI: 10.1109/VLSI.Design.2009.85  0.2
2010 Wu TY, Sambamurthy S, Abraham JA. Estimation of maximum application-level power supply noise Proceedings - Ieee International Soc Conference, Socc 2010. 213-218. DOI: 10.1109/SOCC.2010.5784738  0.2
2015 Kalyanam VK, Saint-Laurent M, Abraham JA. Power-Aware multi-voltage custom memory models for enhancing RTL and low power verification Proceedings of the 33rd Ieee International Conference On Computer Design, Iccd 2015. 24-31. DOI: 10.1109/ICCD.2015.7357080  0.199
2014 Mirkhani S, Cho H, Mitra S, Abraham JA. Rethinking error injection for effective resilience Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 390-393. DOI: 10.1109/ASPDAC.2014.6742922  0.193
2010 Kim H, Chung J, Abraham JA, Byun E, Woo CJ. A Built-in Self-Test scheme for high speed I/O using cycle-by-cycle edge control 2010 15th Ieee European Test Symposium, Ets'10. 145-150. DOI: 10.1109/ETSYM.2010.5512766  0.177
2011 Kim J, Lee J, Abraham JA. System accuracy estimation of SRAM-based device authentication Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 37-42. DOI: 10.1109/ASPDAC.2011.5722216  0.176
2013 Viswanath V, Muralidhar R, Seshadri H, Abraham JA. On a rewriting strategy for dynamically managing power constraints and power dissipation in SoCs Proceedings - International Symposium On Quality Electronic Design, Isqed. 128-134. DOI: 10.1109/ISQED.2013.6523600  0.171
2015 Abdulla SS, Nam H, Abraham JA. A novel algorithm for sparse FFT pruning and its applications to OFDMA technology 2014 Ieee 33rd International Performance Computing and Communications Conference, Ipccc 2014. DOI: 10.1109/PCCC.2014.7017080  0.142
2011 Abraham JA. Recent advances in navigation-assisted musculoskeletal tumor resection Current Orthopaedic Practice. 22: 297-302. DOI: 10.1097/BCO.0b013e318221b1a3  0.124
2012 Lall A, Hohn E, Kim MY, Gorlick RG, Abraham JA, Geller DS. Comparison of Surface Area across the Allograft-Host Junction Site Using Conventional and Navigated Osteotomy Technique. Sarcoma. 2012: 197540. PMID 23319879 DOI: 10.1155/2012/197540  0.122
2014 Abraham JA, Gu X, MacLaurin T, Rajski J, Ryan PG, Gizopoulos D, Reorda MS. Special session 8B - Panel: In-field testing of SoC devices: Which solutions by which players? Proceedings of the Ieee Vlsi Test Symposium. DOI: 10.1109/VTS.2014.6818780  0.113
2010 Sauberan JB, Dean LM, Fiedelak J, Abraham JA. Origins of and solutions for neonatal medication-dispensing errors. American Journal of Health-System Pharmacy : Ajhp : Official Journal of the American Society of Health-System Pharmacists. 67: 49-57. PMID 20044369 DOI: 10.2146/ajhp090122  0.079
2018 Abraham JA, Kenneally B, Amer K, Geller DS. Can Navigation-assisted Surgery Help Achieve Negative Margins in Resection of Pelvic and Sacral Tumors? Clinical Orthopaedics and Related Research. 476: 499-508. PMID 29529631 DOI: 10.1007/s11999.0000000000000064  0.076
2016 Palisch A, Patel RG, Gutowski C, Zoga AC, Colucci P, O'Hara BJ, Roberts CC, Abraham J. Analysis of needle type for musculoskeletal lesion biopsy: Results of a novel steerable needle Current Orthopaedic Practice. 27: 393-399. DOI: 10.1097/BCO.0000000000000399  0.073
2023 Jalli S, Spinelli KJ, Kirker EB, Venkataraman A, Abraham J. Impella as a bridge-to-closure in post-infarction ventricular septal defect: a case series. European Heart Journal. Case Reports. 7: ytad500. PMID 37869741 DOI: 10.1093/ehjcr/ytad500  0.067
2017 Rutigliano S, Abraham JA, Kenneally BE, Zoga AC, Nevalainen M, Roedl JB. Analysis of a Steerable Needle for Fine Needle Aspiration and Biopsy: Efficiency and Radiation Dose Compared With a Conventional Straight Needle. Journal of Computer Assisted Tomography. PMID 28448416 DOI: 10.1097/RCT.0000000000000616  0.066
2019 Lin F, Kwong J, Ionescu-Ittu R, Pivneva I, Wynant W, Shi S, Wu EQ, Abraham JA. Work productivity loss in patients with tenosynovial giant cell tumors in the United States. Journal of Clinical Oncology. 37: e22527-e22527. DOI: 10.1200/Jco.2019.37.15_Suppl.E22527  0.064
2018 Lin F, Ionescu-Ittu R, Pivneva I, Wynant W, Shi S, Shohudi Mojdehi A, Wu E, Kwong J, Abraham JA. Disability burden in patients with tenosynovial giant cell tumors in the United States from employer perspective. Journal of Clinical Oncology. 36: 92-92. DOI: 10.1200/Jco.2018.36.30_Suppl.92  0.061
2014 Abraham JA, Neuman B, Hornicek FJ. Clinical features and pathobiology of chordoma The Intervertebral Disc: Molecular and Structural Studies of the Disc in Health and Disease. 277-288. DOI: 10.1007/978-3-7091-1535-0_17  0.06
2013 Starks A, Guo L, Abraham JA. Resection of soft tissue tumors extending through the obturator ring Orthopedics. 36: e1220-e1224. PMID 24025018 DOI: 10.3928/01477447-20130821-29  0.059
2014 Snir M, Wisniewski RW, Abraham JA, Adve SV, Bagchi S, Balaji P, Belak J, Bose P, Cappello F, Carlson B, Chien AA, Coteus P, Debardeleben NA, Diniz PC, Engelmann C, et al. Addressing failures in exascale computing International Journal of High Performance Computing Applications. 28: 129-173. DOI: 10.1177/1094342014522573  0.058
2016 Pagare G, Abraham JA, Sanyal SP. Theoretical study of phase stability, structural, magnetic, mechanical and thermal behavior of gadolinium-based intermetallic compounds in cubic AuCu3 structure Indian Journal of Physics. 90: 57-65. DOI: 10.1007/s12648-015-0729-1  0.055
2021 Zweck E, Thayer KL, Helgestad OKL, Kanwar M, Ayouty M, Garan AR, Hernandez-Montfort J, Mahr C, Wencker D, Sinha SS, Vorovich E, Abraham J, O'Neill W, Li S, Hickey GW, et al. Phenotyping Cardiogenic Shock. Journal of the American Heart Association. e020085. PMID 34227396 DOI: 10.1161/JAHA.120.020085  0.054
2014 Abraham JA, Pagare G, Chouhan SS, Sanyal SP. High pressure structural, elastic, mechanical and thermal behavior of LaX3 (X = In, Sn, Tl and Pb) compounds: A FP-LAPW study Computational Materials Science. 81: 423-432. DOI: 10.1016/j.commatsci.2013.08.052  0.052
2010 Abraham JA, Baldini EH, Butrynski JE. Management of adult soft-tissue sarcoma of the extremities and trunk Expert Review of Anticancer Therapy. 10: 233-248. PMID 20131999 DOI: 10.1586/ERA.09.193  0.051
2013 Abraham JA, Pagare G, Chouhan SS, Sanyal SP. Structural, electronic and elastic properties of LaX3 (X = In, Sn and Tl) compounds: A FP-LAPW study Aip Conference Proceedings. 1536: 567-568. DOI: 10.1063/1.4810353  0.051
2012 Abraham JA, Weaver MJ, Ready JE, Raskin KA, O'Brien E, Hornicek FJ. Short-term outcomes of cementless modular endoprostheses in lower extremity reconstruction Current Orthopaedic Practice. 23: 213-217. DOI: 10.1097/BCO.0b013e3182512156  0.05
2022 Kapur NK, Kiernan MS, Gorgoshvili I, Yousefzai R, Vorovich EE, Tedford RJ, Sauer AJ, Abraham J, Resor CD, Kimmelstiel CD, Benzuly KH, Steinberg DH, Messer J, Burkhoff D, Karas RH. Intermittent Occlusion of the Superior Vena Cava to Improve Hemodynamics in Patients With Acutely Decompensated Heart Failure: The VENUS-HF Early Feasibility Study. Circulation. Heart Failure. CIRCHEARTFAILURE1210. PMID 35000420 DOI: 10.1161/CIRCHEARTFAILURE.121.008934  0.05
2018 Lima B, Bansal A, Abraham J, Rich JD, Lee SS, Soleimani B, Katz JN, Kilic A, Young JS, Patel CB, Joseph SM. Controversies and Challenges of Ventricular Assist Device Therapy. The American Journal of Cardiology. PMID 29576232 DOI: 10.1016/j.amjcard.2018.01.034  0.048
2014 Abraham JA, Pagare G, Chouhan SS, Sanyal SP. Structural, electronic, elastic, mechanical and thermal behavior of RESn3(RE = Y, la and Ce) compounds: A first principles study Intermetallics. 51: 1-10. DOI: 10.1016/j.intermet.2014.02.017  0.046
2004 Varghese RM, Abraham J, James J, Puliyel JM. Determining the point of indifference--where costs of selective and universal immunization against hepatitis B are identical, in a cost-minimization exercise. Indian Journal of Gastroenterology : Official Journal of the Indian Society of Gastroenterology. 23: 154-6. PMID 15333982  0.046
2023 Heywood JT, Munshi K, Jordan T, Muse E, Fudim M, Sauer AJ, McDermott M, Shah H, Bhimaraj A, Khedraki R, Robinson MR, McCann P, Volz E, Guha A, Jonsson O, ... ... Abraham J, et al. Multicenter registry and test bed for extended outpatient hemodynamic monitoring: the hemodynamic frontiers in heart failure (HF) initiative. Frontiers in Cardiovascular Medicine. 10: 1321415. PMID 38094114 DOI: 10.3389/fcvm.2023.1321415  0.046
2023 Bhimaraj A, Benjamin TA, Guglin M, Volz E, Shah H, Guha A, Bhatt K, Bennett M, Sauer A, Fudim M, Robinson M, Muse E, Heywood T, Jonsson O, Abraham J. Translating Pressure into Practice: Operational Characteristics of Ambulatory Hemodynamic Monitoring Program in the United States. Journal of Cardiac Failure. PMID 37328050 DOI: 10.1016/j.cardfail.2023.05.021  0.045
2015 Pagare G, Abraham JA, Sanyal SP. A DFT based study of structural, electronic and elastic properties of PrPb3 intermetallic compound Advanced Science Letters. 21: 2868-2870. DOI: 10.1166/asl.2015.6346  0.043
2009 Abraham J, Abraham TP. The Role of Echocardiography in Hemodynamic Assessment in Heart Failure Heart Failure Clinics. 5: 191-208. PMID 19249688 DOI: 10.1016/j.hfc.2008.11.002  0.041
2011 Abraham JA, Sánchez HJ, Marcelli CA, Grenón M, Guidi MC, Piccinini M. A crystallinity study of dental tissues and tartar by infrared spectroscopy. Analytical and Bioanalytical Chemistry. 399: 1699-704. PMID 21120652 DOI: 10.1007/s00216-010-4430-0  0.04
2018 Amer KM, Mohamed S, Amer R, Chaudhry A, Winters B, Abraham JA. The Effect of Mitomycin C on Recurrence of Plantar Fibromas. Journal of Orthopaedic Research : Official Publication of the Orthopaedic Research Society. PMID 29600534 DOI: 10.1002/jor.23902  0.039
2014 Abraham JA, Pagare G, Chouhan SS, Sanyal SP. Theoretical calculations of structural, electronic, optical, elastic, and thermal properties of YX3 (X = In, Sn, Tl, and Pb) compounds based on density functional theory Journal of Materials Science. 50: 542-554. DOI: 10.1007/s10853-014-8610-8  0.038
2008 Ev J, Mundayat G, Chunduru K, Abraham J, Palliyil A, Perimpa D. Delayed surgery for traumatic rupture of aortic arch with dissection of the left anterior descending artery. The Journal of Thoracic and Cardiovascular Surgery. 135: 428-30. PMID 18242280 DOI: 10.1016/j.jtcvs.2007.09.022  0.038
2014 Wong JC, Abraham JA. Upper extremity considerations for oncologic surgery. The Orthopedic Clinics of North America. 45: 541-64. PMID 25199424 DOI: 10.1016/j.ocl.2014.06.007  0.038
2010 CHEN M, ABRAHAM JA. ChemInform Abstract: Free Radical Method for the Synthesis of Spiro-Piperidinyl Heterocycles. Cheminform. 27: no-no. DOI: 10.1002/chin.199646157  0.037
2021 Jain P, Thayer KL, Abraham J, Everett KD, Pahuja M, Whitehead EH, Schwartz BP, Lala A, Sinha SS, Kanwar MK, Garan AR, Hernandez-Monfort JA, Mahr C, Vorovich E, Wencker D, et al. Right Ventricular Dysfunction Is Common and Identifies Patients at Risk of Dying in Cardiogenic Shock. Journal of Cardiac Failure. 27: 1061-1072. PMID 34625126 DOI: 10.1016/j.cardfail.2021.07.013  0.036
2023 Thohan V, Abraham J, Burdorf A, Sulemanjee N, Jaski B, Guglin M, Pagani FD, Vidula H, Majure DT, Napier R, Heywood TJ, Cogswell R, Dirckx N, Farrar DJ, Drakos SG. Use of a Pulmonary Artery Pressure Sensor to Manage Patients With Left Ventricular Assist Devices. Circulation. Heart Failure. PMID 37079511 DOI: 10.1161/CIRCHEARTFAILURE.122.009960  0.036
2023 Zweck E, Kanwar M, Li S, Sinha SS, Garan AR, Hernandez-Montfort J, Zhang Y, Li B, Baca P, Dieng F, Harwani NM, Abraham J, Hickey G, Nathan S, Wencker D, et al. Clinical Course of Patients in Cardiogenic Shock Stratified by Phenotype. Jacc. Heart Failure. PMID 37354148 DOI: 10.1016/j.jchf.2023.05.007  0.035
2020 Dheer S, Sullivan PE, Schick F, Karanjia H, Taweel N, Abraham J, Jiang W. Extra-articular synovial chondromatosis of the ankle: Unusual case with radiologic-pathologic correlation. Radiology Case Reports. 15: 445-449. PMID 32123550 DOI: 10.1016/j.radcr.2020.01.031  0.035
2019 Amer KM, Munn M, Congiusta D, Abraham JA, Basu Mallick A. Survival and Prognosis of Chondrosarcoma Subtypes: SEER Database Analysis. Journal of Orthopaedic Research : Official Publication of the Orthopaedic Research Society. PMID 31498474 DOI: 10.1002/jor.24463  0.034
2013 Damiano JS, Rendah KG, Karim C, Embry MG, Ghoddusi M, Holash J, Fanidi A, Abrams TJ, Abraham JA. Neutralization of prolactin receptor function by monoclonal antibody LFA102, a novel potential therapeutic for the treatment of breast cancer Molecular Cancer Therapeutics. 12: 295-305. PMID 23270929 DOI: 10.1158/1535-7163.MCT-12-0886  0.033
2007 Abraham JA, Hornicek FJ, Kaufman AM, Harmon DC, Springfield DS, Raskin KA, Mankin HJ, Kirsch DG, Rosenberg AE, Nielsen GP, Desphpande V, Suit HD, DeLaney TF, Yoon SS. Treatment and outcome of 82 patients with angiosarcoma. Annals of Surgical Oncology. 14: 1953-67. PMID 17356953 DOI: 10.1245/S10434-006-9335-Y  0.032
2021 Hernandez-Montfort J, Sinha SS, Thayer KL, Whitehead EH, Pahuja M, Garan AR, Mahr C, Haywood JL, Harwani NM, Schaeffer A, Wencker D, Kanwar M, Vorovich E, Abraham J, Burkhoff D, et al. Clinical Outcomes Associated with Acute Mechanical Circulatory Support Utilization in Heart Failure Related Cardiogenic Shock. Circulation. Heart Failure. PMID 33905259 DOI: 10.1161/CIRCHEARTFAILURE.120.007924  0.03
2019 Abraham J, Mathew S. Merkel Cells: A Collective Review of Current Concepts. International Journal of Applied & Basic Medical Research. 9: 9-13. PMID 30820413 DOI: 10.4103/ijabmr.IJABMR_34_18  0.029
2019 Abraham J, Bharmi R, Jonsson O, Oliveira GH, Artis A, Valika A, Capodilupo R, Adamson PB, Roberts G, Dalal N, Desai AS, Benza RL. Association of Ambulatory Hemodynamic Monitoring With Clinical Outcomes in a Concurrent Matched Control Analysis. Jama Cardiology. PMID 31090869 DOI: 10.1001/jamacardio.2019.1384  0.029
2015 Karam JA, Huang RC, Abraham JA, Parvizi J. Total joint arthroplasty in cancer patients. The Journal of Arthroplasty. 30: 758-61. PMID 25583683 DOI: 10.1016/J.Arth.2014.12.017  0.029
2015 Offodile AC, Abraham JA, Guo L. Mesh reconstruction of the inguinal ligament with bone anchors following radical oncologic excision: a case series Hernia. 19: 1005-1009. DOI: 10.1007/s10029-014-1254-4  0.029
2008 Abraham JA. Implications of technology trends on system dependability Proceedings -Design, Automation and Test in Europe, Date. 940. DOI: 10.1109/DATE.2008.4484800  0.029
2022 Kapur NK, Kanwar M, Sinha SS, Thayer KL, Garan AR, Hernandez-Montfort J, Zhang Y, Li B, Baca P, Dieng F, Harwani NM, Abraham J, Hickey G, Nathan S, Wencker D, et al. Criteria for Defining Stages of Cardiogenic Shock Severity. Journal of the American College of Cardiology. 80: 185-198. PMID 35835491 DOI: 10.1016/j.jacc.2022.04.049  0.028
2010 Abraham JA, Sánchez HJ, Valentinuzzi MC, Grenón MS. Influence of smoking on the elemental composition of oral fluids: A TXRF approach X-Ray Spectrometry. 39: 372-375. DOI: 10.1002/xrs.1278  0.028
2023 Khedraki R, Abraham J, Jonsson O, Bhatt K, Omar HR, Bennett M, Bhimaraj A, Guha A, McCann P, Muse ED, Robinson M, Sauer AJ, Cheng A, Bagsic S, Fudim M, et al. Impact of exercise on pulmonary artery pressure in patients with heart failure using an ambulatory pulmonary artery pressure monitor. Frontiers in Cardiovascular Medicine. 10: 1077365. PMID 36937902 DOI: 10.3389/fcvm.2023.1077365  0.027
2016 Abraham JA, Pagare G, Chouhan SS, Sanyal SP. Corrigendum to "Structural, electronic, elastic, mechanical and thermal behavior of RESn3 (RE = Y, La and Ce) compounds: A first principles study" [Intermetallics 51 (2014) 1-10] Intermetallics. DOI: 10.1016/j.intermet.2015.12.007  0.027
2014 Zhang I, Zaorsky NG, Abraham JA, Tuluc M, Curry JM, Bar-Ad V. Chondrosarcoma of the hyoid bone: case report and review of current management options. Head & Neck. 36: E65-72. PMID 23720060 DOI: 10.1002/hed.23373  0.027
2010 Abraham J, Mathai KV, Rajshekhar V, Narayan RK. Jacob Chandy: pioneering neurosurgeon of India. Neurosurgery. 67: 567-75; discussion 5. PMID 20647965 DOI: 10.1227/01.NEU.0000374769.83712.E1  0.026
2014 Shallop B, Abraham JA. Synovial chondromatosis of pes anserine bursa secondary to osteochondroma. Orthopedics. 37: e735-8. PMID 25102510 DOI: 10.3928/01477447-20140728-90  0.026
2016 Gutowski CJ, Basu-Mallick A, Abraham JA. Management of Bone Sarcoma. The Surgical Clinics of North America. 96: 1077-106. PMID 27542644 DOI: 10.1016/j.suc.2016.06.002  0.026
2020 Thayer KL, Zweck E, Ayouty M, Garan AR, Hernandez-Montfort J, Mahr C, Morine KJ, Newman S, Jorde L, Haywood JL, Harwani NM, Esposito ML, Davila CD, Wencker D, Sinha SS, ... ... Abraham J, et al. Invasive Hemodynamic Assessment and Classification of In-Hospital Mortality Risk Among Patients With Cardiogenic Shock. Circulation. Heart Failure. CIRCHEARTFAILURE1200. PMID 32900234 DOI: 10.1161/CIRCHEARTFAILURE.120.007099  0.025
2012 Abraham JA, Weaver MJ, Hornick JL, Zurakowski D, Ready JE. Outcomes and prognostic factors for a consecutive case series of 115 patients with somatic leiomyosarcoma. The Journal of Bone and Joint Surgery. American Volume. 94: 736-44. PMID 22517390 DOI: 10.2106/JBJS.K.00460  0.025
2013 Karanjia H, Abraham JA, O'Hara B, Shallop B, Daniel J, Taweel N, Schick FA. Distal fibula metastasis of cholangiocarcinoma Journal of Foot and Ankle Surgery. 52: 659-662. PMID 23578566 DOI: 10.1053/j.jfas.2013.02.017  0.025
2017 Lewis RS, Wang L, Spinelli KJ, Ott GY, Abraham J. Surgical occlusion of the left atrial appendage and thromboembolic complications in patients with left ventricular assist devices. The Journal of Heart and Lung Transplantation : the Official Publication of the International Society For Heart Transplantation. PMID 28215476 DOI: 10.1016/j.healun.2017.01.1297  0.024
2024 Kwon JH, Bentley D, Cevasco M, Blumer V, Kanwar MK, Silvestry SC, Daneshmand MA, Abraham J, Shorbaji K, Kilic A. Patient Characteristics and Early Clinical Outcomes With Impella 5.5: A Systematic Review and Meta-Analysis. Asaio Journal (American Society For Artificial Internal Organs : 1992). PMID 38386980 DOI: 10.1097/MAT.0000000000002169  0.024
1996 Chen M, Abraham JA. Free radical method for the synthesis of spiro-piperidinyl heterocycles Tetrahedron Letters. 37: 5233-5234. DOI: 10.1016/0040-4039(96)01072-6  0.023
2013 Maceroli M, Ponnappan R, Shallop B, Vaccaro A, Abraham J. Sacral Foraminal Osteochondroma Causing Radiculopathy: A Case Report. Jbjs Case Connector. 3: 3e70. PMID 29252470 DOI: 10.2106/JBJS.CC.M.00001  0.023
2022 Abraham J, Wang L, Kumar V, Kirker EB, Spinelli KJ. Axillary transvalvular microaxial pump as extended bridge to transcatheter aortic valve replacement in cardiogenic shock with severe aortic stenosis. The Journal of Heart and Lung Transplantation : the Official Publication of the International Society For Heart Transplantation. PMID 35090810 DOI: 10.1016/j.healun.2021.12.010  0.023
2023 Garan AR, Kataria R, Li B, Sinha S, Kanwar MK, Hernandez-Montfort J, Li S, Ton VK, Blumer V, Grandin EW, Harwani N, Zazzali P, Walec KD, Hickey G, Abraham J, et al. Outcomes of Patients Transferred to Tertiary Care Centers for Treatment of Cardiogenic Shock: A Cardiogenic Shock Working Group Analysis: Outcomes of Patients Transferred for Treatment of Cardiogenic Shock. Journal of Cardiac Failure. PMID 37820897 DOI: 10.1016/j.cardfail.2023.09.003  0.023
2020 McKee TC, Belair JA, Sobol K, Brown SA, Abraham J, Morrison W. Efficacy of image-guided synovial biopsy. Skeletal Radiology. PMID 31912178 DOI: 10.1007/s00256-019-03370-2  0.023
2015 Abraham J, Remick JD, Caulfield T, Puhlman M, Evenson K, Ott G, Kirker E. Left ventricular assist device outflow cannula obstruction treated with percutaneous endovascular stenting. Circulation. Heart Failure. 8: 229-30. PMID 25605641 DOI: 10.1161/CIRCHEARTFAILURE.114.001891  0.022
2021 Abraham J, Blumer V, Burkhoff D, Pahuja M, Sinha SS, Rosner C, Vorovich E, Grafton G, Bagnola A, Hernandez-Montfort JA, Kapur NK. Heart Failure-Related Cardiogenic Shock: Pathophysiology, Evaluation and Management Considerations: Review of Heart Failure-Related Cardiogenic Shock. Journal of Cardiac Failure. 27: 1126-1140. PMID 34625131 DOI: 10.1016/j.cardfail.2021.08.010  0.022
2006 Chang LS, Jacob A, Abraham J, Lorenz M, Rock J, Akhmametyeva EM, Mihai G, Schmalbrock P, Chaudhury AR, Lopez R, Yamate J, John MR, Wickert H, Neff BA, Dodson E, et al. Growth of benign and malignant schwannoma xenografts in severe combined immunodeficiency mice. The Laryngoscope. 116: 2018-26. PMID 17075413 DOI: 10.1097/01.Mlg.0000240185.14224.7D  0.022
2009 Kaufman AM, Abraham JA, Kattapuram SV, Hornicek FJ. Orthopaedic • Radiology • Pathology Conference: Chronic multifocal chest and leg pain in a 34-year-old woman Clinical Orthopaedics and Related Research. 467: 1112-1117. PMID 18810567 DOI: 10.1007/S11999-008-0516-9  0.021
2015 Shallop B, Starks A, Greenbaum S, Geller DS, Lee A, Ready J, Merli G, Maltenfort M, Abraham JA. Thromboembolism After Intramedullary Nailing for Metastatic Bone Lesions. The Journal of Bone and Joint Surgery. American Volume. 97: 1503-11. PMID 26378266 DOI: 10.2106/JBJS.N.01067  0.021
2023 Pham T, Abraham J, Sheikh FH. Great mimicker: definite isolated cardiac sarcoidosis masquerading as hypertrophic cardiomyopathy. Bmj Case Reports. 16. PMID 38087480 DOI: 10.1136/bcr-2023-256579  0.02
2023 Kanwar MK, Blumer V, Zhang Y, Sinha S, Garan AR, Hernandez-Montfort J, Khalif A, Hickey G, Abraham J, Mahr C, Li B, Sangal P, Walec KD, Zazzali P, Kataria R, et al. Pulmonary Artery Catheter Use and Risk of In-Hospital Death in Heart Failure Cardiogenic Shock. Journal of Cardiac Failure. PMID 37187230 DOI: 10.1016/j.cardfail.2023.05.001  0.02
2014 Abraham JA, Sánchez HJ, Grenón MS, Pérez CA. TXRF analysis of metals in oral fluids of patients with dental implants X-Ray Spectrometry. 43: 193-197. DOI: 10.1002/xrs.2538  0.018
2020 Fram B, Smith EB, Deirmengian GK, Abraham JA, Strony J, Cross MB, Ponzio DY. Proximal tibial replacement in revision knee arthroplasty for non-oncologic indications. Arthroplasty Today. 6: 23-35. PMID 32211471 DOI: 10.1016/j.artd.2019.11.007  0.018
2018 Rozenberg A, Kenneally BE, Abraham JA, Strogus K, Roedl JB, Morrison WB, Zoga AC. Second opinions in orthopedic oncology imaging: can fellowship training reduce clinically significant discrepancies? Skeletal Radiology. PMID 30003278 DOI: 10.1007/s00256-018-3024-3  0.018
2010 Elco CP, Mariño-Enríquez A, Abraham JA, Cin PD, Hornick JL. Hybrid myxoinflammatory fibroblastic sarcoma/hemosiderotic fibrolipomatous tumor: Report of a case providing further evidence for a pathogenetic link American Journal of Surgical Pathology. 34: 1723-1727. PMID 20871391 DOI: 10.1097/PAS.0b013e3181f17d51  0.017
2019 Chang K, Shoureshi P, Lay F, Abraham J, Born LJ, Habibabady ZA, Sebastian R, Harmon JW. Ischemic Preconditioning of Surgical Dermal Pedicle Flaps with DNA Plasmid Expressing Hypoxia-Inducible Factor-1α Journal of the American College of Surgeons. 229: S224-S225. DOI: 10.1016/j.jamcollsurg.2019.08.492  0.016
2022 Hernandez-Montfort J, Kanwar M, Sinha SS, Garan AR, Blumer V, Kataria R, Whitehead EH, Yin M, Li B, Zhang Y, Thayer KL, Baca P, Dieng F, Harwani NM, Guglin M, ... Abraham J, et al. Clinical Presentation and In-Hospital Trajectory of Heart Failure Cardiogenic Shock. Jacc. Heart Failure. PMID 36342421 DOI: 10.1016/j.jchf.2022.10.002  0.015
2018 Gao T, Rivlin M, Abraham JA. Three-dimensional Printing Technology and Role for Custom Implants in Orthopedic Oncology Techniques in Orthopaedics. 33: 166-174. DOI: 10.1097/bto.0000000000000292  0.014
2021 Kanwar M, Thayer KL, Garan AR, Hernandez-Montfort J, Whitehead E, Mahr C, Sinha SS, Vorovich E, Harwani NM, Zweck E, Abraham J, Burkhoff D, Kapur NK. Impact of Age on Outcomes in Patients With Cardiogenic Shock. Frontiers in Cardiovascular Medicine. 8: 688098. PMID 34368248 DOI: 10.3389/fcvm.2021.688098  0.014
2020 Garan AR, Kanwar M, Thayer KL, Whitehead E, Zweck E, Hernandez-Montfort J, Mahr C, Haywood JL, Harwani NM, Wencker D, Sinha SS, Vorovich E, Abraham J, O'Neill W, Burkhoff D, et al. Complete Hemodynamic Profiling With Pulmonary Artery Catheters in Cardiogenic Shock Is Associated With Lower In-Hospital Mortality. Jacc. Heart Failure. 8: 903-913. PMID 33121702 DOI: 10.1016/j.jchf.2020.08.012  0.014
2016 Brochin RL, Silver JS, Thornhill BA, Blosser SA, Abraham JA, Hoang BH, Yang R, Geller DS. Characterization and Distribution of Vertebral Hemangiomas Including a Novel Geographic Map Montefiore Journal of Musculoskeletal Medicine & Surgery. 1: 26-29. DOI: 10.12678/2470-3680.1.1.26  0.014
2008 Abraham J, Abraham TP. Is echocardiographic assessment of dyssynchrony useful to select candidates for cardiac resynchronization therapy? Echocardiography is useful before cardiac resynchronization therapy if QRS duration is available Circulation. Cardiovascular Imaging. 1. PMID 19808517 DOI: 10.1161/CIRCIMAGING.108.792804  0.013
2017 Rozenberg A, Kenneally BE, Abraham JA, Strogus K, Roedl JB, Morrison WB, Zoga AC. Clinical Impact of Second-Opinion Musculoskeletal Subspecialty Interpretations During a Multidisciplinary Orthopedic Oncology Conference. Journal of the American College of Radiology : Jacr. PMID 28238666 DOI: 10.1016/j.jacr.2017.01.006  0.013
2022 Abraham J. The Pressure for Progress in Heart Failure. Jacc. Heart Failure. 10: 945-947. PMID 36456067 DOI: 10.1016/j.jchf.2022.09.011  0.012
2007 Bhambhani V, Abraham J, Sahni M, Harit AK, Khare S, Puliyel JM. Outbreak of Coxsackie B4 arthritis among newborns and staff of a neonatal unit. Tropical Doctor. 37: 188-9. PMID 17716519 DOI: 10.1258/004947507781524728  0.012
2018 Abraham J, Sinollareddy M, Roberts MS, Williams P, Peake SL, Lipman J, Roberts JA. Plasma and interstitial fluid population pharmacokinetics of vancomycin in critically patients with sepsis. International Journal of Antimicrobial Agents. PMID 30296581 DOI: 10.1016/j.ijantimicag.2018.09.021  0.01
2023 Ton VK, Kanwar MK, Li B, Blumer V, Li S, Zweck E, Sinha SS, Farr M, Hall S, Kataria R, Guglin M, Vorovich E, Hernandez-Montfort J, Garan AR, Pahuja M, ... ... Abraham J, et al. Impact of Female Sex on Cardiogenic Shock Outcomes: A Cardiogenic Shock Working Group Report. Jacc. Heart Failure. PMID 37930289 DOI: 10.1016/j.jchf.2023.09.025  0.01
2019 Abraham J, McCann P, Wang L, Schnell Heringer A, Paulsen J, Chappell J, Remick J, Westerdahl D, Lewis R, Callis K, Spinelli KJ, Klein L. Internal Jugular Vein as Alternative Access for Implantation of a Wireless Pulmonary Artery Pressure Sensor. Circulation. Heart Failure. 12: e006060. PMID 31525097 DOI: 10.1161/CIRCHEARTFAILURE.119.006060  0.01
2018 Wang KR, Abraham JA, McCue P, Schiewer MJ, Bussard KM, Fatatis A, Languino L, Leiby B, O'Neill R, Li J, Richter BI, Kelly WK. Characterization of a bone biorepository: Comparison of bone metastases from breast, prostate, renal, lung cancers, and myeloma. Journal of Clinical Oncology. 36: e24019-e24019. DOI: 10.1200/Jco.2018.36.15_Suppl.E24019  0.01
2017 Watts V GJ, Zoga AC, Abraham JA. Posttreatment Imaging in Orthopedic Oncology. Seminars in Roentgenology. 52: 291-300. PMID 28965548 DOI: 10.1053/j.ro.2017.04.001  0.01
2017 Abraham J, Lewis RS, Wang L, Spinelli KJ, Remick J, Paulson J, Chappell J. Under Pressure: When to Stick Your Neck Out? Jacc. Heart Failure. 5: 156. PMID 28153202 DOI: 10.1016/j.jchf.2016.11.007  0.01
2015 Kenneally BE, Gutowski CJ, Reynolds AW, Morrison WB, Abraham JA. Utility of opposed-phase magnetic resonance imaging in differentiating sarcoma from benign bone lesions. Journal of Bone Oncology. 4: 110-4. PMID 26730359 DOI: 10.1016/j.jbo.2015.10.001  0.01
2015 Merema MR, Sullivan DL, Pollard CM, Abraham JA, Tomlin SM, Radomiljac AL. Parents' perception of their child's weight status and intention to intervene: a Western Australian cross-sectional population survey, 2009-12. Australian and New Zealand Journal of Public Health. PMID 26559494 DOI: 10.1111/1753-6405.12483  0.01
2014 Kirsch JM, Rosenberg AE, O'Hara BJ, Abraham JA. Aggressive tibial lesion in a 70-year-old man. Clinical Orthopaedics and Related Research. 472: 2555-60. PMID 24867455 DOI: 10.1007/s11999-014-3704-9  0.01
2011 Banffy MB, Vrahas MS, Ready JE, Abraham JA. Nonoperative versus prophylactic treatment of bisphosphonate-associated femoral stress fractures. Clinical Orthopaedics and Related Research. 469: 2028-34. PMID 21350886 DOI: 10.1007/s11999-011-1828-8  0.01
2009 Abraham J, Mudd JO, Kapur NK, Kapur N, Klein K, Champion HC, Wittstein IS. Stress cardiomyopathy after intravenous administration of catecholamines and beta-receptor agonists. Journal of the American College of Cardiology. 53: 1320-5. PMID 19358948 DOI: 10.1016/J.Jacc.2009.02.020  0.01
2007 Abraham J, Campbell CY, Cheema A, Gluckman TJ, Blumenthal RS, Danyi P. C-reactive protein in cardiovascular risk assessment: a review of the evidence. Journal of the Cardiometabolic Syndrome. 2: 119-23. PMID 17684461  0.01
2002 Ojha RK, Abraham J, Khosla M, Puliyel JM. Global alliance on vaccines and immunizations. Vaccine promotion is circumventing market forces Bmj (Clinical Research Ed.). 324: 975. PMID 11965668  0.01
2002 Walt G, Brugha R, Starling M, Ojha RK, Abraham J, Khosla M, Puliyel JM. Global alliance on vaccines and immunizations. Save the Children UK had concerns about alliance that went further than report did. Bmj (Clinical Research Ed.). 324: 974-5. PMID 11964348 DOI: 10.1136/bmj.324.7343.974/a  0.01
2001 Suga S, Kim YG, Joly A, Puchacz E, Kang DH, Jefferson JA, Abraham JA, Hughes J, Johnson RJ, Schreiner GF. Vascular endothelial growth factor (VEGF121) protects rats from renal infarction in thrombotic microangiopathy. Kidney International. 60: 1297-308. PMID 11576344 DOI: 10.1046/j.1523-1755.2001.00935.x  0.01
Hide low-probability matches.