Hanif Fatemi, Ph.D. - Publications

Affiliations: 
2007 Computer Engineering: Doctor of Philosophy University of Southern California, Los Angeles, CA, United States 
Area:
Electronics and Electrical Engineering

13 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2013 Fatemi H, Tehrani P. Crosstalk timing windows overlap in statistical static timing analysis Proceedings - International Symposium On Quality Electronic Design, Isqed. 245-251. DOI: 10.1109/ISQED.2013.6523617  0.393
2011 Nazarian S, Fatemi H, Pedram M. Accurate timing and noise analysis of combinational and sequential logic cells using current source modeling Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 19: 92-103. DOI: 10.1109/Tvlsi.2009.2024945  0.628
2007 Abbaspour S, Fatemi H, Pedram M. Parameterized non-Gaussian variational gate timing analysis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 26: 1495-1508. DOI: 10.1109/Tcad.2007.893552  0.74
2007 Fatemi H, Nazarian S, Pedram M. A current-based method for short circuit power calculation under noisy input waveforms Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 774-779. DOI: 10.1109/ASPDAC.2007.358083  0.514
2006 Fatemi H, Nazarian S, Pedram M. Statistical logic cell delay analysis using a current-based model Proceedings - Design Automation Conference. 253-256. DOI: 10.1145/1146909.1146975  0.548
2006 Abbaspour S, Fatemi H, Pedram M. Parameterized block-based non-gaussian statistical gate timing analysis Proceedings of the Asia and South Pacific Design Automation Conference, Asp-Dac. 2006: 947-952.  0.73
2006 Abbaspour S, Fatemi H, Pedram M. Non-gaussian statistical interconnect timing analysis Proceedings -Design, Automation and Test in Europe, Date. 1.  0.717
2006 Fatemi H, Abbaspour S, Pedram M, Ajami AH, Tuncer E. SACI: Statistical static timing analysis of coupled interconnects Proceedings of the Acm Great Lakes Symposium On Vlsi, Glsvlsi. 2006: 241-246.  0.685
2005 Iranli A, Fatemi H, Pedram M. Lifetime-aware intrusion detection under safeguarding constraints 2005 4th International Symposium On Information Processing in Sensor Networks, Ipsn 2005. 2005: 189-194. DOI: 10.1109/IPSN.2005.1440924  0.688
2005 Abbaspour S, Fatemi H, Pedram M. VGTA: Variation-aware gate timing analysis Proceedings - Ieee International Conference On Computer Design: Vlsi in Computers and Processors. 2005: 351-356. DOI: 10.1109/ICCD.2005.115  0.727
2005 Iranli A, Fatemi H, Pedram M. HEBS: Histogram equalization for backlight scaling Proceedings -Design, Automation and Test in Europe, Date '05. 346-351. DOI: 10.1109/DATE.2005.174  0.686
2005 Abbaspour S, Fatemi H, Pedram M. VITA: Variation-Aware Interconnect Timing Analysis for symmetric and skewed sources of variation considering variational ramp input Proceedings of the Acm Great Lakes Symposium On Vlsi, Glsvlsi. 426-430.  0.727
2003 Iranli A, Fatemi H, Pedram M. A Game Theoretic Approach to Dynamic Energy Minimization in Wireless Transceivers Ieee/Acm International Conference On Computer-Aided Design, Digest of Technical Papers. 504-509.  0.697
Show low-probability matches.