Gerard M. Schmid, Ph.D. - Publications

Affiliations: 
2003 University of Texas at Austin, Austin, Texas, U.S.A. 
Area:
Chemical Engineering, Polymer Chemistry, Condensed Matter Physics

36 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2015 Peters BL, Rathsack B, Somervell M, Nakano T, Schmid G, De Pablo JJ. Graphoepitaxial assembly of cylinder forming block copolymers in cylindrical holes Journal of Polymer Science, Part B: Polymer Physics. 53: 430-441. DOI: 10.1002/Polb.23652  0.75
2014 Latypov A, Garner G, Preil M, Schmid G, Wang W, Xu J, Zou Y. Computational simulations and parametric studies for directed self-assembly process development and solution of the inverse directed self-assembly problem Japanese Journal of Applied Physics. 53: 06JC01. DOI: 10.7567/Jjap.53.06Jc01  0.343
2014 Farrell RA, Hosler ER, Schmid GM, Xu J, Preil ME, Rastogi V, Mohanty N, Kumar K, Cicoria MJ, Hetzer DR, Devilliers AJ. Manufacturability considerations for DSA Proceedings of Spie - the International Society For Optical Engineering. 9051. DOI: 10.1117/12.2048396  0.354
2014 Mohanty N, Ko A, Cole C, Rastogi V, Kumar K, Schmid G, Farrell R, Ryan T, Hosler E, Xu J, Preil M. Dual frequency mid-gap capacitively coupled plasma (m-CCP) for conventional and DSA patterning at 10nm node and beyond Proceedings of Spie - the International Society For Optical Engineering. 9054. DOI: 10.1117/12.2048320  0.307
2014 Latypov A, Coskun TH, Garner G, Preil M, Schmid G, Xu J, Zou Y. Simulations of spatial DSA morphology, DSA-aware assist features and block copolymer-homopolymer blends Proceedings of Spie. 9049: 904908. DOI: 10.1117/12.2046082  0.406
2013 Schmid G, Farrell R, Xu J, Park C, Preil M, Chakrapani V, Mohanty N, Ko A, Cicoria M, Hetzer D, Somervell M, Rathsack B. Fabrication of 28nm pitch Si fins with DSA lithography Proceedings of Spie - the International Society For Optical Engineering. 8680. DOI: 10.1117/12.2011607  0.76
2013 Latypov A, Preil M, Schmid G, Xu J, Yi H, Yoshimoto K, Zou Y. Exploration of the directed self-assembly based nano-fabrication design space using computational simulations Proceedings of Spie - the International Society For Optical Engineering. 8680. DOI: 10.1117/12.2011238  0.385
2010 Resnick DJ, Haase G, Singh L, Curran D, Schmid GM, Luo K, Brooks C, Selinidis K, Fretwell J, Sreenivasan SV. Inspection of imprint lithography patterns for semiconductor and patterned media Proceedings of Spie - the International Society For Optical Engineering. 7637. DOI: 10.1117/12.848391  0.314
2010 Ye Z, Fretwell J, Luo K, Ha S, Schmid G, Labrake D, Resnick DJ, Sreenivasan SV. Defect analysis for patterned media Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 28: C6M7-C6M11. DOI: 10.1116/1.3498752  0.352
2009 Brooks C, Schmid GM, Miller M, Johnson S, Khusnatdinov N, Labrake D, Resniek DJ, Sreenivasan SV. Step and flash imprint lithography for manufacturing patterned media Proceedings of Spie - the International Society For Optical Engineering. 7271. DOI: 10.1117/12.815016  0.335
2009 Schmid GM, Miller M, Brooks C, Khusnatdinov N, LaBrake D, Resnick DJ, Sreenivasan SV, Gauzner G, Lee K, Kuo D, Weller D, Yang X. Step and flash imprint lithography for manufacturing patterned media Journal of Vacuum Science & Technology B. 27: 573-580. DOI: 10.1116/1.3081981  0.334
2008 Schmid GM, Khusnatdinov N, Brooks CB, Labrake D, Thompson E, Resnick DJ. Minimizing linewidth roughness for 22-nm node patterning with step-and-flash imprint lithography Proceedings of Spie - the International Society For Optical Engineering. 6921. DOI: 10.1117/12.772956  0.345
2008 Selinidis K, Thompson E, Schmid G, Stacey N, Perez J, Maltabes J, Sreenivasan SV, Resnick DJ, Fujii A, Sakai Y, Sasaki S, Hayashi N. Full field imprint masks using variable shape beam pattern generators Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 26: 2410-2415. DOI: 10.1116/1.2976573  0.325
2008 Khusnatdinov N, Schmid GM, Brooks CB, LaBrake D, Resnick DJ, Hart MW, Gopalakrishnan K, Shenoy R, Jih R, Zhang Y, Sikorski E, Rothwell MB, Owens J, Ford A. Minimizing linewidth roughness in Step and Flash Imprint Lithography Microelectronic Engineering. 85: 856-860. DOI: 10.1016/J.Mee.2008.01.041  0.377
2007 Schmid GM, Thompson E, Stacey N, Resnick DJ, Olynick DL, Anderson EH. Toward 22 nm for unit process development using step and flash imprint lithography Proceedings of Spie - the International Society For Optical Engineering. 6517. DOI: 10.1117/12.718155  0.363
2007 Miller M, Schmid G, Doyle G, Thompson E, Resnick DJ. Template replication for full wafer imprint lithography Microelectronic Engineering. 84: 885-890. DOI: 10.1016/J.Mee.2007.01.060  0.352
2007 Schmid GM, Thompson E, Stacey N, Resnick DJ, Olynick DL, Anderson EH. Template fabrication for the 32 nm node and beyond Microelectronic Engineering. 84: 853-859. DOI: 10.1016/J.Mee.2007.01.038  0.355
2006 Schmid GM, Stewart MD, Wetzel J, Palmieri F, Hao J, Nishimura Y, Jen K, Kim EK, Resnick DJ, Liddle JA, Willson CG. Implementation of an imprint damascene process for interconnect fabrication Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 24: 1283-1291. DOI: 10.1116/1.2197508  0.76
2005 Stewart MD, Wetzel JT, Schmid GM, Palmieri F, Thompson E, Kim EK, Wang D, Sotodeh K, Jen K, Johnson SC, Hao J, Dickey MD, Nishimura Y, Laine RM, Resnick DJ, et al. Direct imprinting of dielectric materials for dual damascene processing Progress in Biomedical Optics and Imaging - Proceedings of Spie. 5751: 210-218. DOI: 10.1117/12.599977  0.719
2005 Meiring JE, Michaelson TB, Jamieson AT, Schmid GM, Willson CG. Using mesoscale simulation to explore photoresist line edge roughness Progress in Biomedical Optics and Imaging - Proceedings of Spie. 5753: 350-360. DOI: 10.1117/12.599736  0.709
2005 Johnson S, Burns R, Kim EK, Dickey M, Schmid G, Meiring J, Burns S, Willson CG, Convey D, Wei Y, Fejes P, Gehoski K, Mancini D, Nordquist K, Dauksher WJ, et al. Effects of etch barrier densification on step and flash imprint lithography Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 23: 2553-2556. DOI: 10.1116/1.2102971  0.73
2005 Tsiartas PC, Schmid GM, Johnson HF, Stewart MD, Willson CG. Quantifying acid generation efficiency for photoresist applications Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 23: 224-228. DOI: 10.1116/1.1851537  0.646
2005 Stewart MD, Wetzel J, Palmieri F, Hao J, Schmid GM, Jen K, Nishimura Y, Willson CG. Interconnect patterning in a single step with multi-level nanoimprint lithography 2005 Proceedings - 22nd International Vlsi Multilevel Interconnection Conference, Vmic 2005. 497-502.  0.698
2004 Johnson S, Burns R, Kim EK, Schmid G, Dickey M, Meiring J, Burns S, Stacey N, Willson CG, Convey D, Wei Y, Fejes P, Gehoski K, Mancini D, Nordquist K, et al. Step and Flash Imprint Lithography modeling and process development Journal of Photopolymer Science and Technology. 17: 417-419. DOI: 10.2494/Photopolymer.17.417  0.745
2004 Schmid GM, Stewart MD, Burns SD, Willson CG. Mesoscale Monte Carlo Simulation of Photoresist Processing Journal of the Electrochemical Society. 151: G155-G161. DOI: 10.1149/1.1637359  0.787
2004 Burns RL, Johnson SC, Schmid GM, Kim EK, Dickey MD, Meiring J, Burns SD, Stacey NA, Willson CG, Convey D, Wei Y, Fejes P, Gehoski K, Mancini D, Nordquist K, et al. Mesoscale modeling for SFIL simulating polymerization kinetics and densification Proceedings of Spie - the International Society For Optical Engineering. 5374: 348-360. DOI: 10.1117/12.536216  0.743
2004 Schmid GM, Carpenter LE, Liddle JA. Nonaqueous development of silsesquioxane electron beam resist Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 22: 3497-3502. DOI: 10.1116/1.1825014  0.355
2004 Jones RL, Hu T, Lin EK, Wu WL, Goldfarb DL, Angelopoulos M, Trinque BC, Schmid GM, Stewart MD, Willson CG. Formation of deprotected fuzzy blobs in chemically amplified resists Journal of Polymer Science, Part B: Polymer Physics. 42: 3063-3069. DOI: 10.1002/Polb.20168  0.751
2002 Schmid GM, Burns SD, Stewart MD, Willson CG. Mesoscale simulation of positive tone chemically amplified photoresists Proceedings of Spie - the International Society For Optical Engineering. 4690: 381-390. DOI: 10.1117/12.474237  0.675
2002 Stewart MD, Becker DJ, Stachowiak TB, Schmid GM, Michaelson TB, Tran HV, Willson CG. Acid mobility in chemically amplified photoresists Proceedings of Spie - the International Society For Optical Engineering. 4690: 943-951. DOI: 10.1117/12.474168  0.728
2002 Stewart MD, Tran HV, Schmid GM, Stachowiak TB, Becker DJ, Willson CG. Acid catalyst mobility in resist resins Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 2946-2952. DOI: 10.1116/1.1523027  0.733
2002 Schmid GM, Burns SD, Tsiartas PC, Willson CG. Electrostatic effects during dissolution of positive tone photoresists Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 2913-2919. DOI: 10.1116/1.1521735  0.688
2002 Burns SD, Schmid GM, Tsiartas PC, Willson CG, Flanagin L. Advancements to the critical ionization dissolution model Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 537-543. DOI: 10.1116/1.1450593  0.695
2002 Schmid GM, Stewart MD, Singh VK, Willson CG. Spatial distribution of reaction products in positive tone chemically amplified resists Journal of Vacuum Science and Technology B: Microelectronics and Nanometer Structures. 20: 185-190. DOI: 10.1116/1.1431954  0.665
2001 Burns SD, Gardiner AB, Krukonis VJ, Wetmore PM, Lutkenhaus J, Schmid GM, Flanagin LW, Willson CG. Understanding nonlinear dissolution rates in photoresists Proceedings of Spie - the International Society For Optical Engineering. 4345: 37-49. DOI: 10.1117/12.436876  0.552
2001 Schmid GM, Smith MD, Mack CA, Singh VK, Burns SD, Grant Willson C. Understanding molecular level effects during post exposure processing Proceedings of Spie - the International Society For Optical Engineering. 4345: 1037-1047. DOI: 10.1117/12.436829  0.667
Show low-probability matches.