Fadi J. Kurdahi - Publications

Affiliations: 
Electrical and Computer Engineering - Ph.D. University of California, Irvine, Irvine, CA 
Area:
Electronics and Electrical Engineering
Website:
https://engineering.uci.edu/users/fadi-kurdahi

74 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Neggaz MA, Alouani I, Niar S, Kurdahi F. Are CNNs Reliable Enough for Critical Applications? An Exploratory Study Ieee Design & Test of Computers. 37: 76-83. DOI: 10.1109/Mdat.2019.2952336  0.312
2019 Yantır HE, Guo W, Eltawil AM, Kurdahi FJ, Salama KN. An Ultra-Area-Efficient 1024-Point In-Memory FFT Processor. Micromachines. 10. PMID 31370261 DOI: 10.3390/Mi10080509  0.428
2019 Seo M, Kurdahi F. Efficient Tracing Methodology Using Automata Processor Acm Transactions in Embedded Computing Systems. 18: 80. DOI: 10.1145/3358200  0.372
2019 Fouda ME, Lee S, Lee J, Eltawil A, Kurdahi F. Mask Technique for Fast and Efficient Training of Binary Resistive Crossbar Arrays Ieee Transactions On Nanotechnology. 18: 704-716. DOI: 10.1109/Tnano.2019.2927493  0.352
2019 Abdelaal RA, Yantir HE, Eltawil AM, Kurdahi FJ. Power Performance Tradeoffs Using Adaptive Bit Width Adjustments on Resistive Associative Processors Ieee Transactions On Circuits and Systems I-Regular Papers. 66: 302-312. DOI: 10.1109/Tcsi.2018.2850885  0.429
2019 Alenizi FA, Kurdahi FJ, Eltawil AM, Al-Asmari AK. Hybrid pyramid-DWT-SVD dual data hiding technique for videos ownership protection Multimedia Tools and Applications. 78: 14511-14547. DOI: 10.1007/S11042-018-6723-9  0.313
2018 Yantir HE, Eltawil AM, Kurdahi FJ. A Two-Dimensional Associative Processor Ieee Transactions On Very Large Scale Integration Systems. 26: 1659-1670. DOI: 10.1109/Tvlsi.2018.2827262  0.411
2018 Fouda ME, Eltawil AM, Kurdahi F. Modeling and Analysis of Passive Switching Crossbar Arrays Ieee Transactions On Circuits and Systems I-Regular Papers. 65: 270-282. DOI: 10.1109/Tcsi.2017.2714101  0.314
2018 Yantir HE, Eltawil AM, Kurdahi FJ. A Hybrid Approximate Computing Approach for Associative In-Memory Processors Ieee Journal On Emerging and Selected Topics in Circuits and Systems. 8: 758-769. DOI: 10.1109/Jetcas.2018.2852701  0.375
2018 Yantır HE, Eltawil AM, Niar S, Kurdahi FJ. Power optimization techniques for associative processors Journal of Systems Architecture. 90: 44-53. DOI: 10.1016/J.Sysarc.2018.08.006  0.403
2017 Yantir HE, Eltawil AM, Kurdahi FJ. Approximate Memristive In-memory Computing Acm Transactions in Embedded Computing Systems. 16: 129. DOI: 10.1145/3126526  0.358
2017 Elsharkasy WM, Khajeh A, Eltawil AM, Kurdahi FJ. Reliability Enhancement of Low-Power Sequential Circuits Using Reconfigurable Pulsed Latches Ieee Transactions On Circuits and Systems I-Regular Papers. 64: 1803-1814. DOI: 10.1109/Tcsi.2017.2680433  0.402
2017 Alouani I, Elsharkasy WM, Eltawil AM, Kurdahi FJ, Niar S. AS8-static random access memory (SRAM): asymmetric SRAM architecture for soft error hardening enhancement Iet Circuits Devices & Systems. 11: 89-94. DOI: 10.1049/Iet-Cds.2015.0318  0.353
2014 Chakraborty A, Homayoun H, Khajeh A, Dutt N, Eltawil A, Kurdahi F. Multicopy cache: A highly energy-efficient cache architecture Acm Transactions On Embedded Computing Systems. 13. DOI: 10.1145/2632162  0.37
2014 Zidan MA, Eltawil AM, Kurdahi F, Fahmy HAH, Salama KN. Memristor multiport readout: A closed-form solution for sneak paths Ieee Transactions On Nanotechnology. 13: 274-282. DOI: 10.1109/Tnano.2014.2299558  0.373
2014 Amiri K, Yang SH, Majumder A, Kurdahi F, El Zarki M. Mobile collaborative video Ieee Transactions On Circuits and Systems For Video Technology. 24: 1594-1604. DOI: 10.1109/Tcsvt.2014.2302523  0.634
2014 Khairy MS, Khajeh A, Eltawil AM, Kurdahi FJ. Joint power management and adaptive modulation and coding for wireless communications systems with unreliable buffering memories Ieee Transactions On Circuits and Systems I: Regular Papers. 61: 2456-2465. DOI: 10.1109/Tcsi.2014.2309791  0.439
2014 Khairy MS, Shen CA, Eltawil AM, Kurdahi FJ. Algorithms and architectures of energy-efficient error-resilient MIMO detectors for memory-dominated wireless communication systems Ieee Transactions On Circuits and Systems I: Regular Papers. 61: 2159-2171. DOI: 10.1109/Tcsi.2014.2298273  0.418
2014 Khairy MS, Khajeh A, Eltawil AM, Kurdahi FJ. Equi-noise: A statistical model that combines embedded memory failures and channel noise Ieee Transactions On Circuits and Systems I: Regular Papers. 61: 407-419. DOI: 10.1109/Tcsi.2013.2268197  0.387
2013 Nassar A, Kurdahi FJ. Architectural support for runtime verification on ccNUMA multiprocessors Intelligent Decision Technologies. 1-6. DOI: 10.1109/Idt.2013.6727122  0.416
2013 Khairy MS, Eltawil AM, Kurdahi FJ, Khajeh A. Error-aware power management for memory dominated OFDM systems Conference Record - Asilomar Conference On Signals, Systems and Computers. 2034-2040. DOI: 10.1109/ACSSC.2013.6810664  0.308
2013 Eltawil AA, Engel M, Geuskens B, Djahromi AK, Kurdahi FJ, Marwedel P, Niar S, Saghir MAR. A survey of cross-layer power-reliability tradeoffs in multi and many core systems-on-chip Microprocessors and Microsystems. 37: 760-771. DOI: 10.1016/J.Micpro.2013.07.008  0.387
2012 Khajeh A, Kim M, Dutt N, Eltawil AM, Kurdahi FJ. Error-aware algorithm/architecture coexploration for video over wireless applications Transactions On Embedded Computing Systems. 11. DOI: 10.1145/2180887.2180892  0.417
2012 Amiri K, Yang SH, Kurdahi F, El Zarki M, Majumder A. Collaborative video playback on a federation of tiled mobile projectors enabled by visual feedback Mmsys'12 - Proceedings of the 3rd Multimedia Systems Conference. 113-118. DOI: 10.1145/2155555.2155575  0.599
2012 Sasan A, Amiri K, Homayoun H, Eltawil AM, Kurdahi FJ. Variation trained drowsy cache (VTD-cache): A history trained variation aware drowsy cache for fine grain voltage scaling Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 20: 630-642. DOI: 10.1109/Tvlsi.2011.2106523  0.77
2012 Khairy MS, Gholamipour A, Kurdahi FJ, Eltawil AM. Reliable low power Distributed Arithmetic filters via N-Modular Redundancy Conference Record - Asilomar Conference On Signals, Systems and Computers. 621-625. DOI: 10.1109/ACSSC.2012.6489083  0.319
2011 Golshan S, Khajeh A, Homayoun H, Bozorgzadeh E, Eltawil A, Kurdahi FJ. Reliability-aware placement in SRAM-based FPGA for voltage scaling realization in the presence of process variations Embedded Systems Week 2011, Esweek 2011 - Proceedings of the 9th Ieee/Acm/Ifip International Conference On Hardware/Software Codesign and System Synthesis, Codes+Isss'11. 257-266. DOI: 10.1145/2039370.2039410  0.32
2011 Khajeh A, Eltawil AM, Kurdahi FJ. Embedded memories fault-tolerant pre- and post-silicon optimization Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 19: 1916-1921. DOI: 10.1109/Tvlsi.2010.2056397  0.443
2011 Sasan A, Homayoun H, Eltawil AM, Kurdahi F. Inquisitive defect cache: A means of combating manufacturing induced process variation Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 19: 1597-1609. DOI: 10.1109/Tvlsi.2010.2055589  0.762
2011 Park Y, Pasricha S, Kurdahi FJ, Dutt N. A Multi-Granularity Power Modeling Methodology for Embedded Processors Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 19: 668-681. DOI: 10.1109/Tvlsi.2009.2039153  0.383
2011 Gholamipour AH, Gorcin A, Celebi H, Toreyin BU, Saghir MAR, Kurdahi F, Eltawil A. Reconfigurable filter implementation of a matched-filter based spectrum sensor for Cognitive Radio systems Proceedings - Ieee International Symposium On Circuits and Systems. 2457-2460. DOI: 10.1109/ISCAS.2011.5938101  0.758
2011 Tran LN, Kurdahi FJ, Eltawil AM, Aljumah A. Adjustable supply voltages and refresh cycle for process variations, temperature changes, and device degradation adaptation in 1T1C embedded DRAM International Design and Test Workshop. 124-129. DOI: 10.1109/Idt.2011.6123115  0.616
2011 Gholamipour AH, Papadimitriou K, Kurdahi F, Dollas A, Eltawil A. Area, reconfiguration delay and reliability trade-offs in designing reliable multi-mode FIR filters International Design and Test Workshop. 82-87. DOI: 10.1109/Idt.2011.6123107  0.778
2011 Amiri K, Yang SH, Larsen C, Kurdahi F, El Zarki M, Majumder A. Camera-based video synchronization for a federation of mobile projectors Ieee Computer Society Conference On Computer Vision and Pattern Recognition Workshops. DOI: 10.1109/CVPRW.2011.5981744  0.593
2011 Homayoun H, Golshan S, Bozorgzadeh E, Veidenbaum A, Kurdahi FJ. On leakage power optimization in clock tree networks for ASICs and general-purpose processors Sustainable Computing: Informatics and Systems. 1: 75-87. DOI: 10.1016/J.Suscom.2010.10.005  0.376
2010 Homayoun H, Sasan A, Gupta A, Veidenbaum A, Kurdahi F, Dutt N. Multiple sleep modes leakage control in peripheral circuits of a all major SRAM-based processor units Cf 2010 - Proceedings of the 2010 Computing Frontiers Conference. 297-307. DOI: 10.1145/1787275.1787339  0.758
2010 Kurdahi FJ, Eltawil A, Yi K, Cheng S, Khajeh A. Low-power multimedia system design by aggressive voltage scaling Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 18: 852-856. DOI: 10.1109/Tvlsi.2009.2016665  0.426
2010 Pasricha S, Park Y, Kurdahi FJ, Dutt N. CAPPS: A Framework for Power–Performance Tradeoffs in Bus-Matrix-Based On-Chip Communication Architecture Synthesis Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 18: 209-221. DOI: 10.1109/Tvlsi.2008.2009304  0.586
2010 Gholamipour AH, Kurdahi F, Eltawil A, Saghir MAR. Placement-aware partial reconfiguration for a class of FIR-like structures Ict 2010: 2010 17th International Conference On Telecommunications. 965-972. DOI: 10.1109/ICTEL.2010.5478857  0.787
2010 Khairy MS, Khajeh A, Eltawil AM, Kurdahi FJ. FFT processing through faulty memories in OFDM based systems 2010 Ieee Globecom Workshops, Gc'10. 1946-1951. DOI: 10.1109/GLOCOMW.2010.5700283  0.368
2010 Khajeh A, Amiri K, Khairy MS, Eltawil AM, Kurdahi FJ. A unified hardware and channel noise model for communication systems Globecom - Ieee Global Telecommunications Conference. DOI: 10.1109/GLOCOM.2010.5683123  0.657
2010 Gholamipour AH, Kurdahi F, Eltawil A, Saghir MAR. Exploiting architectural similarities and mode sequencing in joint cost optimization of multi-mode FIR filters Proceedings - 2010 International Conference On Field Programmable Logic and Applications, Fpl 2010. 175-178. DOI: 10.1109/FPL.2010.42  0.757
2010 Amiri K, Khajeh A, Eltawil AM, Kurdahi FJ. Process variation aware transcoding for low power H.264 decoding 2010 8th Ieee Workshop On Embedded Systems For Real-Time Multimedia, Estimedia'10. 90-96. DOI: 10.1109/ESTMED.2010.5666988  0.64
2010 Hussien AMA, Khairy MS, Khajeh A, Amiri K, Eltawil AM, Kurdahi FJ. A combined channel and hardware noise resilient Viterbi decoder Conference Record - Asilomar Conference On Signals, Systems and Computers. 395-399. DOI: 10.1109/ACSSC.2010.5757543  0.621
2010 Homayoun H, Gupta A, Veidenbaum A, Sasan A, Kurdahi F, Dutt N. RELOCATE: Register file local access pattern redistribution mechanism for power and thermal management in out-of-order embedded processor Lecture Notes in Computer Science (Including Subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics). 5952: 216-231. DOI: 10.1007/978-3-642-11515-8_17  0.739
2009 Sasan A, Homayoun H, Eltawil A, Kurdahi F. A fault tolerant cache architecture for sub 500mV operation: Resizable Data Domposer Cache (RDC-Cache) Embedded Systems Week 2009 - 2009 International Conference On Compilers, Architecture, and Synthesis For Embedded Systems, Cases'09. 251-259. DOI: 10.1145/1629395.1629431  0.71
2009 Pasricha S, Park Y, Dutt N, Kurdahi FJ. System-level PVT variation-aware power exploration of on-chip communication architectures Acm Transactions On Design Automation of Electronic Systems. 14: 1-25. DOI: 10.1145/1497561.1497563  0.556
2009 Makhzan MA, Khajeh A, Eltawil A, Kurdahi FJ. A low power JPEG2000 encoder with iterative and fault tolerant error concealment Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 17: 827-837. DOI: 10.1109/Tvlsi.2009.2016714  0.4
2009 Gholamipour AH, Eslami H, Eltawil A, Kurdahi F. Size-reconfiguration delay tradeoffs for a class of DSP blocks in multi-mode communication systems Proceedings - Ieee Symposium On Field Programmable Custom Computing Machines, Fccm 2009. 71-78. DOI: 10.1109/FCCM.2009.39  0.773
2008 Makhzan MA, Eltawil A, Kurdahi FJ. Architectural and algorithm level fault tolerant techniques for low power high yield multimedia devices Proceedings - 2008 International Conference On Embedded Computer Systems: Architectures, Modeling and Simulation, Ic-Samos 2008. 124-131. DOI: 10.1109/ICSAMOS.2008.4664855  0.357
2007 Djahromi AK, Eltawil AM, Kurdahi FJ. Fault tolerant approaches targeting ultra low power communications system design Ieee Vehicular Technology Conference. 2600-2604. DOI: 10.1109/VETECS.2007.535  0.34
2007 Djahromi AK, Eltawil AM, Kurdahi FJ, Kanj R. Cross layer error exploitation for aggressive voltage scaling Proceedings - Eighth International Symposium On Quality Electronic Design, Isqed 2007. 192-197. DOI: 10.1109/ISQED.2007.53  0.307
2007 Zhang C, Long Y, Kurdahi F. A scalable embedded JPEG 2000 architecture Journal of Systems Architecture. 53: 524-538. DOI: 10.1016/J.Sysarc.2006.11.010  0.564
2007 Zhang C, Long Y, Kurdahi FJ. A hierarchical pipelining architecture and FPGA implementation for lifting-based 2-D DWT Journal of Real-Time Image Processing. 2: 281-291. DOI: 10.1007/S11554-007-0057-6  0.566
2007 Zhang C, Kurdahi F. Reducing off-chip memory access via stream-conscious tiling on multimedia applications International Journal of Parallel Programming. 35: 63-98. DOI: 10.1007/S10766-005-0027-1  0.546
2006 Kulkarni D, Najjar WA, Rinker R, Kurdahi FJ. Compile-time area estimation for LUT-based FPGAs Acm Transactions On Design Automation of Electronic Systems. 11: 104-122. DOI: 10.1145/1124713.1124721  0.347
2006 Yi K, Jung KH, Cheng S, Park Y, Kurdahi F, Eltawil A. Design and analysis of low power image filters toward defect-resilient embedded memories for multimedia socs Lecture Notes in Computer Science. 295-308. DOI: 10.1007/11859802_24  0.63
2004 Lucas M, Shanbhag N, Roy K, Kurdahi F, Fagan J. High power keeps cool Ieee Circuits & Devices. 20: 22-30. DOI: 10.1109/Mcd.2004.1317947  0.302
2003 Venkataramani G, Najjar W, Kurdahi F, Bagherzadeh N, Bohm W, Hammes J. Automatic compilation to a coarse-grained reconfigurable system-opn-chip Acm Transactions in Embedded Computing Systems. 2: 560-589. DOI: 10.1145/950162.950167  0.376
2002 Maestre R, Kurdahi FJ, Fernandez M, Hermida R, Bagherzadeh N, Singh H. A framework for reconfigurable computing: task scheduling and context management-a summary Ieee Circuits and Systems Magazine. 2: 48-51. DOI: 10.1109/Mcas.2002.1173134  0.502
2002 Maestre R, Kurdahi FJ, Frenandez M, Hermida R, Bagherzadeh N, Singh H. VLSI transactions best paper award "A framework for reconfigurable computing: task scheduling and context management" Ieee Circuits and Systems Magazine. 2: 55-55. DOI: 10.1109/Mcas.2002.1045863  0.456
2001 Maestre R, Kurdahi FJ, Fernandez M, Hermida R, Bagherzadeh N, Singh H. A framework for reconfigurable computing: task scheduling and context management Ieee Transactions On Very Large Scale Integration Systems. 9: 858-873. DOI: 10.1109/92.974899  0.531
2001 Maestre R, Kurdahi F, Fernandez M, Hermida R, Bagherzadeh N, Singh H. Kernel scheduling techniques for efficient solution space exploration in reconfigurable computing Journal of Systems Architecture. 47: 277-292. DOI: 10.1016/S1383-7621(00)00050-3  0.555
2000 Singh H, Lee M, Lu G, Kurdahi FJ, Bagherzadeh N, Filho EMC. MorphoSys: an integrated reconfigurable system for data-parallel and computation-intensive applications Ieee Transactions On Computers. 49: 465-481. DOI: 10.1109/12.859540  0.657
1999 Xu M, Kurdahi FJ. Accurate prediction of quality metrics for logic level designs targeted toward lookup-table-based FPGAs Ieee Transactions On Very Large Scale Integration Systems. 7: 411-418. DOI: 10.1109/92.805748  0.379
1999 Blough DM, Kurdahi FJ, Ohm SY. High-level synthesis of recoverable VLSI microarchitectures Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 7: 401-410. DOI: 10.1109/92.805747  0.301
1997 Xu M, Kurdahi FJ. Layout-driven RTL binding techniques for high-level synthesis using accurate estimators Acm Transactions On Design Automation of Electronic Systems. 2: 312-343. DOI: 10.1145/268424.268425  0.368
1997 Ohm SY, Kurdahi FJ, Dutt ND. A unified lower bound estimation technique for high-level synthesis Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 16: 458-472. DOI: 10.1109/43.631209  0.371
1994 Park N, Kurdahi FJ. Register-Transfer Synthesis of Pipelined Data Paths Vlsi Design. 2: 17-32. DOI: 10.1155/1994/43564  0.375
1994 Ramachandran C, Kurdahi FJ. Combined topological and functionality-based delay estimation using a layout-driven approach for high-level applications Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 13: 1450-1460. DOI: 10.1109/43.331402  0.337
1993 Rao DS, Kurdahi FJ. Hierarchical design space exploration for a class of digital systems Ieee Transactions On Very Large Scale Integration Systems. 1: 282-295. DOI: 10.1109/92.238442  0.383
1993 Kurdahi FJ, Ramachandran C. Evaluating layout area tradeoffs for high level applications Ieee Transactions On Very Large Scale Integration Systems. 1: 46-55. DOI: 10.1109/92.219906  0.336
1993 Rao DS, Kurdahi FJ. On clustering for maximal regularity extraction Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 12: 1198-1208. DOI: 10.1109/43.238612  0.318
1989 Kurdahi FJ, Parker AC. Techniques for Area Estimation of VLSI Layouts Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 8: 81-92. DOI: 10.1109/43.21821  0.537
Show low-probability matches.