Paul Franzon - Publications

Affiliations: 
North Carolina State University, Raleigh, NC 
Area:
Electronics and Electrical Engineering, Computer Science

108 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2016 Zhao W, Bhanushali K, Franzon P. Design of a rectifier-free UHF gen-2 compatible RFID tag using RF-only logic 2016 Ieee International Conference On Rfid, Rfid 2016. DOI: 10.1109/RFID.2016.7488013  0.306
2014 Bapat OA, Franzon PD, Fastow RM. A generic and scalable architecture for a large acoustic model and large vocabulary speech recognition accelerator using logic on memory Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 22: 2701-2712. DOI: 10.1109/Tvlsi.2013.2296526  0.773
2014 Gadfort P, Franzon PD. Millimeter-scale true 3-D antenna-in-package structures for near-field power transfer Ieee Transactions On Components, Packaging and Manufacturing Technology. 4: 1574-1581. DOI: 10.1109/Tcpmt.2014.2349983  0.771
2014 Priyadarshi S, Davis WR, Steer MB, Franzon PD. Thermal pathfinding for 3-D ICs Ieee Transactions On Components, Packaging and Manufacturing Technology. 4: 1159-1168. DOI: 10.1109/Tcpmt.2014.2321005  0.696
2014 Sarkar B, Ramanan N, Jayanti S, Spigna ND, Lee B, Franzon P, Misra V. Dual floating gate unified memory MOSFET with simultaneous dynamic and non-volatile operation Ieee Electron Device Letters. 35: 48-50. DOI: 10.1109/Led.2013.2289751  0.341
2014 Priyadarshi S, Davis WR, Franzon PD. Pathfinder3D: A framework for exploring early thermal tradeoffs in 3DIC Icicdt 2014 - Ieee International Conference On Integrated Circuit Design and Technology. DOI: 10.1109/ICICDT.2014.6838612  0.5
2013 Kim H, Won C, Franzon PD. Crosstalk-canceling multimode interconnect using transmitter encoding Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 21: 1562-1567. DOI: 10.1109/Tvlsi.2012.2213281  0.476
2013 Wyers EJ, Steer MB, Kelley CT, Franzon PD. A bounded and discretized Nelder-Mead algorithm suitable for RFIC calibration Ieee Transactions On Circuits and Systems I: Regular Papers. 60: 1787-1799. DOI: 10.1109/Tcsi.2012.2230496  0.459
2013 Priyadarshi S, Choudhary NK, Dwiel B, Upreti A, Rotenberg E, Davis R, Franzon P. Hetero2 3D integration: A scheme for optimizing efficiency/cost of Chip Multiprocessors Proceedings - International Symposium On Quality Electronic Design, Isqed. 1-7. DOI: 10.1109/ISQED.2013.6523582  0.587
2013 Franzon PD, Priyadarshi S, Lipa S, Davis WR, Thorolfsson T. Exploring early design tradeoffs in 3DIC Proceedings - Ieee International Symposium On Circuits and Systems. 545-549. DOI: 10.1109/ISCAS.2013.6571901  0.766
2013 Franzon PD, Rotenberg E, Tuck J, Davis WR, Zhou H, Schabel J, Zhang Z, Park J, Dwiel B, Forbes E, Huh J, Priyadarshi S, Lipa S, Thorolfsson T. Applications and design styles for 3DIC Technical Digest - International Electron Devices Meeting, Iedm. 29.4.1-29.4.4. DOI: 10.1109/IEDM.2013.6724717  0.774
2013 Zhao W, Gadfort P, Erickson E, Franzon PD. A compact inductively coupled connector for mobile devices Proceedings - Electronic Components and Technology Conference. 2385-2390. DOI: 10.1109/ECTC.2013.6575919  0.8
2013 Zhu T, Yelten MB, Steer MB, Franzon PD. Model-based variation-aware integrated circuit design Surrogate-Based Modeling and Optimization: Applications in Engineering. 2147483647: 171-188. DOI: 10.1007/978-1-4614-7551-4_8  0.57
2012 Yelten MB, Franzon PD, Steer MB. Analog negative-bias-temperature-instability monitoring circuit Ieee Transactions On Device and Materials Reliability. 12: 177-179. DOI: 10.1109/Tdmr.2011.2178096  0.515
2012 Priyadarshi S, Saunders CS, Kriplani NM, Demircioglu H, Davis WR, Franzon PD, Steer MB. Parallel transient simulation of multiphysics circuits using delay-based partitioning Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 31: 1522-1535. DOI: 10.1109/Tcad.2012.2201156  0.693
2012 Melamed S, Thorolfsson T, Harris TR, Priyadarshi S, Franzon P, Steer MB, Davis WR. Junction-level thermal analysis of 3-d integrated circuits using high definition power blurring Ieee Transactions On Computer-Aided Design of Integrated Circuits and Systems. 31: 676-689. DOI: 10.1109/Tcad.2011.2180384  0.804
2012 Gadfort P, Franzon PD. Near threshold RF-only analog to digital converter 2012 Ieee Subthreshold Microelectronics Conference, Subvt 2012. DOI: 10.1109/SubVT.2012.6404321  0.793
2012 Ledford J, Gadfort P, Franzon PD. An analysis of subthreshold SRAM bitcells for operation in low power RF-only technologies 2012 Ieee Subthreshold Microelectronics Conference, Subvt 2012. DOI: 10.1109/SubVT.2012.6404316  0.8
2012 Zhu T, Steer MB, Franzon PD. Surrogate model-based self-calibrated design for process and temperature compensation in analog/RF circuits Ieee Design and Test of Computers. 29: 74-83. DOI: 10.1109/Mdt.2012.2220332  0.52
2012 Yelten MB, Zhu T, Koziel S, Franzon PD, Steer MB. Demystifying surrogate modeling for circuits and systems Ieee Circuits and Systems Magazine. 12: 45-63. DOI: 10.1109/Mcas.2011.2181095  0.473
2012 Yelten MB, Franzon PD, Steer MB. Process mismatch analysis based on reduced-order models Proceedings - International Symposium On Quality Electronic Design, Isqed. 648-655. DOI: 10.1109/ISQED.2012.6187561  0.509
2012 Gadfort P, Franzon PD. Design, modeling, and fabrication of mm 3 three-dimensional integrated antennas Proceedings - Electronic Components and Technology Conference. 1794-1799. DOI: 10.1109/ECTC.2012.6249081  0.776
2012 Thorolfsson T, Lipa S, Franzon PD. A 10.35 mW/GFlop stacked SAR DSP unit using fine-grain partitioned 3D integration Proceedings of the Custom Integrated Circuits Conference. DOI: 10.1109/CICC.2012.6330589  0.742
2012 Priyadarshi S, Harris TR, Melamed S, Otero C, Kriplani NM, Christoffersen CE, Manohar R, Dooley SR, Davis WR, Franzon PD, Steer MB. Dynamic electrothermal simulation of three-dimensional integrated circuits using standard cell macromodels Iet Circuits, Devices and Systems. 6: 35-44. DOI: 10.1049/Iet-Cds.2011.0061  0.691
2012 Chakraborti P, Toprakci HAK, Yang P, Di Spigna N, Franzon P, Ghosh T. A compact dielectric elastomer tubular actuator for refreshable Braille displays Sensors and Actuators, a: Physical. 179: 151-157. DOI: 10.1016/J.Sna.2012.02.004  0.311
2012 Yelten MB, Franzon PD, Steer MB. Comparison of modeling techniques in circuit variability analysis International Journal of Numerical Modelling: Electronic Networks, Devices and Fields. 25: 288-302. DOI: 10.1002/Jnm.836  0.503
2011 Yelten MB, Franzon PD, Steer MB. Surrogate-model-based analysis of analog circuits-part II: Reliability analysis Ieee Transactions On Device and Materials Reliability. 11: 458-465. DOI: 10.1109/Tdmr.2011.2160063  0.546
2011 Yelten MB, Franzon PD, Steer MB. Surrogate-model-based analysis of analog circuits-part I: Variability analysis Ieee Transactions On Device and Materials Reliability. 11: 466-473. DOI: 10.1109/TDMR.2011.2160063  0.543
2011 Zhu T, Steer MB, Franzon PD. Accurate and scalable IO buffer macromodel based on surrogate modeling Ieee Transactions On Components, Packaging and Manufacturing Technology. 1: 1240-1249. DOI: 10.1109/Tcpmt.2011.2138704  0.52
2011 Franzon PD, Davis WR, Thorolfsson T, Melamed S. 3D specific systems design and CAD Proceedings - 2011 International Conference On Embedded Computer Systems: Architectures, Modeling and Simulation, Ic-Samos 2011. 326-329. DOI: 10.1109/SAMOS.2011.6045479  0.718
2011 Schinke D, Di Spigna N, Shiveshwarkar M, Franzon P. Computing with novel floating-gate devices Computer. 44: 29-36. DOI: 10.1109/Mc.2010.366  0.8
2011 Franzon PD, Davis WR, Thorolfsson T, Melamed S. 3D specific systems: Design and CAD Proceedings of the Asian Test Symposium. 470-473. DOI: 10.1109/ATS.2011.99  0.718
2011 Priyadarshi S, Hu J, Choi WH, Melamed S, Chen X, Davis WR, Franzon PD. Pathfinder 3D: A flow for system-level design space exploration 2011 Ieee International 3d Systems Integration Conference, 3dic 2011. DOI: 10.1109/3DIC.2012.6262961  0.541
2011 Franzon PD, Davis WR, Zhou Z, Priyadarshi S, Hogan M, Karnik T, Srinavas G. Coordinating 3D designs: Interface IP, standards or free form? 2011 Ieee International 3d Systems Integration Conference, 3dic 2011. DOI: 10.1109/3DIC.2012.6262960  0.568
2011 Thorolfsson T, Moezzi-Madani N, Franzon PD. Reconfigurable five-layer three-dimensional integrated memory-on-logic synthetic aperture radar processor Iet Computers and Digital Techniques. 5: 198-204. DOI: 10.1049/Iet-Cdt.2009.0106  0.754
2011 Schinke D, Priyadarshi S, Shepherd Pitts W, Di Spigna N, Franzon P. SPICE-compatible physical model of nanocrystal floating gate devices for circuit simulation Iet Circuits, Devices and Systems. 5: 477-483. DOI: 10.1049/Iet-Cds.2010.0410  0.786
2011 Zhu T, Yelten MB, Steer MB, Franzon PD. Application of surrogate modeling in variation-aware macromodel and circuit design Simultech 2011 - Proceedings of 1st International Conference On Simulation and Modeling Methodologies, Technologies and Applications. 502-508.  0.559
2010 Thorolfsson T, Melamed S, Davis WR, Franzon PD. Low-power hypercube divided memory FFT engine using 3D integration Acm Transactions On Design Automation of Electronic Systems. 16. DOI: 10.1145/1870109.1870114  0.743
2010 Di Spigna N, Chakraborti P, Winick D, Yang P, Ghosh T, Franzon P. The integration of novel EAP-based Braille cells for use in a refreshable tactile display Proceedings of Spie - the International Society For Optical Engineering. 7642. DOI: 10.1117/12.847666  0.33
2010 Thorolfsson T, Luo G, Cong J, Franzon PD. Logic-on-logic 3D integration and placement Ieee 3d System Integration Conference 2010, 3dic 2010. DOI: 10.1109/3DIC.2010.5751451  0.729
2010 Lipa S, Thorolfsson T, Franzon P. The NCSU Tezzaron design kit Ieee 3d System Integration Conference 2010, 3dic 2010. DOI: 10.1109/3DIC.2010.5751418  0.707
2010 Franzon PD, Davis WR, Thorolfsson T, Melamed S. Creating 3D specific systems: Architecture, design and CAD 43rd International Symposium On Microelectronics 2010, Imaps 2010. 23-27.  0.729
2010 Melamed S, Thorolfsson T, Srinivasany A, Chengy E, Franzon P, Davis WR. Investigation of tier-swapping to improve the thermal profile of memory-on-logic 3DICs 16th International Workshop On Thermal Investigations of Ics and Systems, Therminic 2010. 74-79.  0.713
2009 He T, Corley DA, Lu M, Di Spigna NH, He J, Nackashi DP, Franzon PD, Tour JM. Controllable molecular modulation of conductivity in silicon-based devices. Journal of the American Chemical Society. 131: 10023-30. PMID 19569647 DOI: 10.1021/Ja9002537  0.775
2009 Thorolfsson T, Moezzi-Madani N, Franzon PD. A low power 3D integrated FFT engine using hypercube memory division Proceedings of the International Symposium On Low Power Electronics and Design. 231-236. DOI: 10.1145/1594233.1594289  0.751
2009 Di Spigna N, Chakraborti P, Yang P, Ghosh T, Franzon P. Application of EAP materials toward a refreshable Braille display Proceedings of Spie - the International Society For Optical Engineering. 7287. DOI: 10.1117/12.816009  0.327
2009 Davis WR, Oh EC, Sule AM, Franzon PD. Application exploration for 3-D integrated circuits: TCAM, FIFO, and FFT case studies Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 17: 496-506. DOI: 10.1109/Tvlsi.2008.2009352  0.755
2009 Gadfort P, Franzon PD. Low-power self-equalizing driver for silicon carrier interconnects with low bit error rate 2009 Ieee 18th Conference On Electrical Performance of Electronic Packaging and Systems, Epeps '09. 37-40. DOI: 10.1109/EPEPS.2009.5338482  0.778
2009 Erickson E, Wilson J, Chandrasekar K, Franzon PD. Multi-bit fractional equalization for multi-Gb/s inductively coupled connectors 2009 Ieee 18th Conference On Electrical Performance of Electronic Packaging and Systems, Epeps '09. 121-124. DOI: 10.1109/EPEPS.2009.5338463  0.562
2009 Thorolfsson T, Melamed S, Charles G, Franzon PD. Comparative analysis of two 3D integration implementations of a SAR processor 2009 Ieee International Conference On 3d System Integration, 3dic 2009. DOI: 10.1109/3DIC.2009.5306598  0.755
2009 Oh EC, Franzon PD. Technology impact analysis for 3D TCAM 2009 Ieee International Conference On 3d System Integration, 3dic 2009. DOI: 10.1109/3DIC.2009.5306563  0.725
2009 Melamed S, Thorolfsson T, Srinivasany A, Chengy E, Franzon P, Davis R. Junction-level thermal extraction and simulation of 3DICs 2009 Ieee International Conference On 3d System Integration, 3dic 2009. DOI: 10.1109/3DIC.2009.5306529  0.746
2009 Thorolfsson T, Gonsalves K, Franzon PD. Design automation for a 3DIC FFT processor for synthetic aperture radar: A case study Proceedings - Design Automation Conference. 51-56.  0.754
2008 Xie Y, Cong J, Franzon P. Editorial: Special issue on 3D integrated circuits and microarchitectures Acm Journal On Emerging Technologies in Computing Systems. 4. DOI: 10.1145/1412587.1412588  0.363
2008 Franzon PD, Lipa S, Oh J, Thorolfsson T, Davis R. Memory rich applications for 3D integration Proceedings of Spie - the International Society For Optical Engineering. 7268. DOI: 10.1117/12.810061  0.745
2008 Chandrasekar K, Wilson J, Erickson E, Feng Z, Xu J, Mick S, Franzon P. Inductively coupled connectors and sockets for multi-Gb/s pulse signaling Ieee Transactions On Advanced Packaging. 31: 749-758. DOI: 10.1109/Tadvp.2008.2005465  0.775
2008 Varma AK, Steer M, Franzon PD. Improving behavioral IO buffer modeling based on IBIS Ieee Transactions On Advanced Packaging. 31: 711-721. DOI: 10.1109/Tadvp.2008.2004995  0.637
2007 Blum AS, Soto CM, Wilson CD, Amsinck C, Franzon P, Ratna BR. Electronic properties of molecular memory circuits on a nanoscale scaffold. Ieee Transactions On Nanobioscience. 6: 270-4. PMID 18217619 DOI: 10.1109/Tnb.2007.908978  0.791
2007 Oh EC, Franzon PD. TCAM core design in 3D IC for low matchline capacitance and low power Proceedings of Spie - the International Society For Optical Engineering. 6414. DOI: 10.1117/12.695915  0.739
2007 Yuce MR, Liu W, Damiano J, Bharath B, Franzon PD, Dogan NS. SOI CMOS implementation of a multirate PSK demodulator for space communications Ieee Transactions On Circuits and Systems I: Regular Papers. 54: 420-431. DOI: 10.1109/Tcsi.2006.885988  0.58
2007 Wilson JM, Mick SE, Xu J, Luo L, Erickson EL, Franzon PD. Considerations for transmission line design on MCMs using AC coupled interconnect with buried solder bumps Proceedings - 10th Ieee Workshop On Signal Propagation On Interconnects, Spi 2006. 281-282. DOI: 10.1109/SPI.2006.289245  0.787
2007 Thorolfsson T, Franzon PD. System design for 3D multi-FPGA packaging Ieee Topical Meeting On Electrical Performance of Electronic Packaging. 171-174. DOI: 10.1109/EPEP.2007.4387152  0.75
2007 Oh EC, Franzon PD. Design Considerations and Benefits of Three-Dimensional Ternary Content Addressable Memory Proceedings of the Ieee 2007 Custom Integrated Circuits Conference, Cicc 2007. 591-594. DOI: 10.1109/CICC.2007.4405801  0.743
2007 Sonkusale SR, Di Spigna NH, Franzon PD. Uniformity analysis of wafer scale sub-25 nm wide nanowire array nanoimprint mold fabricated by PEDAL process Microelectronic Engineering. 84: 1523-1527. DOI: 10.1016/J.Mee.2007.01.210  0.778
2007 Franzon P, Nackashi D, Amsinck C, Dispigna N, Sonkusale S. Molecular electronics - Devices and circuits technology Ifip International Federation For Information Processing. 240: 1-10. DOI: 10.1007/978-0-387-73661-7_1  0.783
2007 Chandrasekar K, Wilson J, Erickson E, Feng Z, Xu J, Mick S, Franzon P. Signal integrity analysis for inductively coupled connectors and sockets International Engineering Consortium - Designcon 2007. 3: 1519-1539.  0.604
2006 He T, He J, Lu M, Chen B, Pang H, Reus WF, Nolte WM, Nackashi DP, Franzon PD, Tour JM. Controlled modulation of conductance in silicon devices by molecular monolayers. Journal of the American Chemical Society. 128: 14537-41. PMID 17090037 DOI: 10.1021/Ja063571L  0.709
2006 Di Spigna NH, Nackashi DP, Amsinck CJ, Sonkusale SR, Franzon PD. Deterministic nanowire fanout and interconnect without any critical translational alignment Ieee Transactions On Nanotechnology. 5: 356-361. DOI: 10.1109/Tnano.2006.876926  0.781
2006 Luo L, Wilson JM, Mick SE, Xu J, Zhang L, Franzon PD. 3 gb/s AC coupled chip-to-chip communication using a low swing pulse receiver Ieee Journal of Solid-State Circuits. 41: 287-296. DOI: 10.1109/JSSC.2005.859881  0.737
2006 Chandrasekar K, Wilson J, Erickson E, Feng Z, Xu J, Mick S, Franzon P. Fine pitch inductively coupled connectors for multi-gbps pulse signaling Electrical Performance of Electronic Packaging, Epep. 11-14. DOI: 10.1109/EPEP.2006.321178  0.577
2006 Luo L, Wilson J, Mick S, Xu J, Zhang L, Erickson E, Franzon P. A 36Gb/s ACCI multi-channel bus using a fully differential pulse receiver Proceedings of the Custom Integrated Circuits Conference. 773-776. DOI: 10.1109/CICC.2006.320976  0.72
2005 Amsinck CJ, Di Spigna NH, Nackashi DP, Franzon PD. Scaling constraints in nanoelectronic random-access memories. Nanotechnology. 16: 2251-60. PMID 20818005 DOI: 10.1088/0957-4484/16/10/047  0.791
2005 Blum AS, Soto CM, Wilson CD, Brower TL, Pollack SK, Schull TL, Chatterji A, Lin T, Johnson JE, Amsinck C, Franzon P, Shashidhar R, Ratna BR. An engineered virus as a scaffold for three-dimensional self-assembly on the nanoscale. Small (Weinheim An Der Bergstrasse, Germany). 1: 702-6. PMID 17193509 DOI: 10.1002/Smll.200500021  0.765
2005 Aldwairi M, Conte T, Franzon P. Configurable string matching hardware for speeding up intrusion detection Acm Sigarch Computer Architecture News. 33: 99-107. DOI: 10.1145/1055626.1055640  0.689
2005 Wilson JM, Bashirullah R, Nackashi DP, Winick DA, Franzon PD. An integrated self-masking technique for providing low-loss metallized RF MEMS devices in a polysilicon only MEMS process Proceedings of Spie - the International Society For Optical Engineering. 5836: 138-152. DOI: 10.1117/12.607592  0.728
2005 Wilson J, Mick S, Xu J, Luo L, Bonafede S, Huffman A, LaBennett R, Franzon P. Fully integrated AC coupled interconnect using buried bumps Ieee Topical Meeting On Electrical Performance of Electronic Packaging. 2005: 7-10. DOI: 10.1109/Tadvp.2007.896920  0.749
2005 Varma AK, Glaser A, Franzon PD. CAD flows for chip-package coverification Ieee Transactions On Advanced Packaging. 28: 96-101. DOI: 10.1109/TADVP.2004.841475  0.536
2005 Nackashi DP, Amsinck CJ, Dispigna NH, Franzon PD. Molecular electronic latches and memories 2005 5th Ieee Conference On Nanotechnology. 2: 89-92. DOI: 10.1109/NANO.2005.1500658  0.804
2005 Davis WR, Wilson J, Mick S, Xu J, Hua H, Mineo C, Sule AM, Steer M, Franzon PD. Demystifying 3D ICs: The pros and cons of going vertical Ieee Design and Test of Computers. 22: 498-510. DOI: 10.1109/Mdt.2005.136  0.789
2005 Blum AS, Soto CM, Wilson CD, Brower TL, Pollack SK, Schull TL, Chatterji A, Lin T, Johnson JE, Amsinck C, Franzon P, Shashidhar R, Ratna BR. Cover Picture: An Engineered Virus as a Scaffold for Three‐Dimensional Self‐Assembly on the Nanoscale (Small 7/2005) Small. 1: 669-669. DOI: 10.1002/Smll.200590022  0.751
2005 Chandrasekar K, Feng Z, Wilson J, Mick S, Franzon P. Inductively coupled board-to-board connectors Proceedings - Electronic Components and Technology Conference. 2: 1109-1113.  0.589
2005 Luo L, Wilson JM, Mick SE, Xu J, Zhang L, Franzon PD. 3Gb/s AC-coupled chip-to-chip communication using a low-swing pulse receiver Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 48.  0.735
2005 Kim T, Nath J, Wilson J, Mick S, Franzon PD, Steer MB, Kingon AI. A high K nanocomposite for high density chip-to-package interconnections Materials Research Society Symposium Proceedings. 833: 201-206.  0.491
2004 Seminario JM, Ma Y, Agapito LA, Yan L, Araujo RA, Bingi S, Vadlamani NS, Chagarlamudi K, Sudarshan TS, Myrick ML, Colavita PE, Franzon PD, Nackashi DP, Cheng L, Yao Y, et al. Clustering effects on discontinuous gold film NanoCells. Journal of Nanoscience and Nanotechnology. 4: 907-17. PMID 15570981 DOI: 10.1166/Jnn.2004.104  0.666
2004 Franzon P, Mick S, Wilson J, Luo L, Chandrasakhar K. AC Coupled Interconnect for high-density high-bandwidth packaging Proceedings of Spie - the International Society For Optical Engineering. 5274: 67-69. DOI: 10.7567/Ssdm.2003.G-6-1  0.746
2004 Damiano J, Franzon PD. Integrated dynamic body contact for H-gate PD-SOI MOSFETs for high performance / low power Proceedings - Ieee International Soi Conference. 115-116.  0.524
2004 Yuce MR, Liu W, Bharat B, Damiano J, Franzon PD. The performance and experimental results of a multiple bit rate symbol timing recovery circuit for PSK receivers Proceedings of the Custom Integrated Circuits Conference. 591-594.  0.596
2003 Tour JM, Cheng L, Nackashi DP, Yao Y, Flatt AK, St Angelo SK, Mallouk TE, Franzon PD. NanoCell electronic memories. Journal of the American Chemical Society. 125: 13279-83. PMID 14570505 DOI: 10.1021/Ja036369G  0.712
2003 Franzon P, Kingon A, Mick S, Wilson J, Luo L, Chandrasakhar K, Xu J, Bonafede S, Huffman A, Statler C, LaBennett R. High Frequency, High Density Interconnect Using AC Coupling Mrs Proceedings. 783. DOI: 10.1557/Proc-783-B6.1  0.742
2003 Yuce MR, Liu W, Damiano J, Bharat B, Franzon PD, Dogan NS. A low power PSK receiver for space applications in 0.35-μm SOI CMOS Proceedings of the Custom Integrated Circuits Conference. 155-158.  0.592
2002 Tour JM, Van Zandt WL, Husband CP, Husband SM, Wilson LS, Franzon PD, Nackashi DP. Nanocell logic gates for molecular computing Ieee Transactions On Nanotechnology. 1: 100-108. DOI: 10.1109/Tnano.2002.804744  0.741
2002 Mehrotra P, Franzon PD. Novel hardware architecture for fast address lookups Ieee International Conference On High Performance Switching and Routing, Hpsr. 105-110. DOI: 10.1109/HPSR.2002.1024217  0.515
2002 Mehrotra P, Franzon PD. Binary search schemes for fast IP lookups Conference Record / Ieee Global Telecommunications Conference. 2: 2005-2009.  0.472
2001 Wilson J, Bashirullah R, Nackashi D, Winick D, Duewer B, Franzon PD. Design of rotating MEMS tunable capacitors for use at RF and Microwave frequencies Proceedings of Spie - the International Society For Optical Engineering. 4593: 186-197. DOI: 10.1117/12.448850  0.735
2001 Nackashi DP, Franzon PD. Molectronics: A circuit design perspective Proceedings of Spie - the International Society For Optical Engineering. 4236: 80-88. DOI: 10.1117/12.418782  0.754
2001 Mehrotra P, Baldine I, Stevenson D, Franzon P. Network processor design for optical burst switched networks Proceedings of the Annual Ieee International Asic Conference and Exhibit. 296-300.  0.502
1999 Duewer BE, Wilson JM, Winick DA, Franzon PD. Programmable MEMS capacitor arrays Proceedings of Spie - the International Society For Optical Engineering. 3893: 262-271.  0.796
1998 Winick D, Duewer B, Chaudhury S, Wilson J, Tucker J, Eksi U, Franzon P. MEMS-based diffractive optical beam steering technology Proceedings of Spie - the International Society For Optical Engineering. 3276: 81-87. DOI: 10.1117/12.302411  0.756
1998 Al-sarawi SF, Abbott D, Franzon PD. A review of 3-D packaging technology Ieee Transactions On Components Packaging and Manufacturing Technology Part B. 21: 2-14. DOI: 10.1109/96.659500  0.443
1996 Lipa S, Steer MB, Cangellaris AC, Franzon PD. Experimental characterization of transmission lines in thin-film multichip modules Ieee Transactions On Components Packaging and Manufacturing Technology Part A. 19: 122-125. DOI: 10.1109/95.486623  0.45
1995 Glaser AW, Steer MB, Shedd GM, Russell PE, Franzon PD. Method for on-chip interconnect characterization Ieee Topical Meeting On Electrical Performance of Electronic Packaging. 108-110.  0.478
1994 Simovich S, Mehrotra S, Franzon P, Steer M. Delay and Reflection Noise Macromodeling for Signal Integrity Management of PCBs and MCMs Ieee Transactions On Components Packaging and Manufacturing Technology Part B. 17: 15-21. DOI: 10.1109/96.296426  0.564
1994 Ma S, Franzon P. Energy Control and Accurate Delay Estimation in the Design of CMOS Buffers Ieee Journal of Solid-State Circuits. 29: 1150-1153. DOI: 10.1109/4.309914  0.342
1993 Lipa S, Steer MB, Morris AS, Franzon PD. Comparison of Methods for Determining the Capacitance of Planar Transmission Lines with Application to Multichip Module Characterization Ieee Transactions On Components, Hybrids, and Manufacturing Technology. 16: 247-252. DOI: 10.1109/33.232050  0.469
1993 Simovich S, Franzon PD, Steer MB. Method for automated waveform analysis of transient responses in digital circuits Electronics Letters. 29: 681-682. DOI: 10.1049/El:19930456  0.536
1992 Steer MB, Goldberg SB, Franzon PD. Comments on “An Accurate Measurement Technique for Line Properties, Junction Effects, and Dielectric and Magnetic Parameters” Ieee Transactions On Microwave Theory and Techniques. 40: 410-411. DOI: 10.1109/22.120117  0.436
1991 Goldberg SB, Steer MB, Kasten JS, Franzon PD. Experimental Electrical Characterization of Interconnects and Discontinuities in High-Speed Digital Systems Ieee Transactions On Components, Hybrids, and Manufacturing Technology. 14: 761-765. DOI: 10.1109/33.105130  0.524
1987 Hatamian M, Hornak LA, Little TE, Tewksbury SK, Franzon P. Fundamental Interconnection Issues At&T Technical Journal. 66: 13-30. DOI: 10.1002/J.1538-7305.1987.Tb00215.X  0.347
Show low-probability matches.