Year |
Citation |
Score |
2020 |
Bizindavyi J, Verhulst AS, Soree B, Groeseneken G. Signature of Ballistic Band-Tail Tunneling Current in Tunnel FET Ieee Transactions On Electron Devices. 67: 3486-3491. DOI: 10.1109/Ted.2020.3004119 |
0.401 |
|
2020 |
Wu W, Ker M, Chen S, Chen J, Linten D, Groeseneken G. RF/High-Speed I/O ESD Protection: Co-optimizing Strategy Between BEOL Capacitance and HBM Immunity in Advanced CMOS Process Ieee Transactions On Electron Devices. 67: 2752-2759. DOI: 10.1109/Ted.2020.2994492 |
0.35 |
|
2020 |
Li X, Bakeroot B, Wu Z, Amirifar N, You S, Posthuma N, Zhao M, Liang H, Groeseneken G, Decoutere S. Observation of Dynamic V TH of p-GaN Gate HEMTs by Fast Sweeping Characterization Ieee Electron Device Letters. 41: 577-580. DOI: 10.1109/Led.2020.2972971 |
0.311 |
|
2020 |
Wu YC, Kim W, Couet S, Garello K, Rao S, Beek SV, Kundu S, Sharifi SH, Crotti D, Houdt JV, Groeseneken G, Kar GS. Study of precessional switching speed control in voltage-controlled perpendicular magnetic tunnel junction Aip Advances. 10: 35123. DOI: 10.1063/5.0002253 |
0.336 |
|
2020 |
Balaji Y, Smets Q, Śzabo Á, Mascaro M, Lin D, Asselberghs I, Radu I, Luisier M, Groeseneken G. MoS2/MoTe2 Heterostructure Tunnel FETs Using Gated Schottky Contacts Advanced Functional Materials. 30: 1905970. DOI: 10.1002/Adfm.201905970 |
0.387 |
|
2019 |
Xiang Y, Verhulst AS, Yakimets D, Parvais B, Mocuta A, Groeseneken G. Process-Induced Power-Performance Variability in Sub-5-nm III–V Tunnel FETs Ieee Transactions On Electron Devices. 66: 2802-2808. DOI: 10.1109/Ted.2019.2909217 |
0.417 |
|
2019 |
Li X, Zhao M, Bakeroot B, Geens K, Guo W, You S, Stoffels S, Lempinen V, Sormunen J, Groeseneken G, Decoutere S. Buffer Vertical Leakage Mechanism and Reliability of 200-mm GaN-on-SOI Ieee Transactions On Electron Devices. 66: 553-560. DOI: 10.1109/Ted.2018.2878457 |
0.424 |
|
2019 |
Franco J, Wu Z, Rzepa G, Ragnarsson L-, Dekkers H, Vandooren A, Groeseneken G, Horiguchi N, Collaert N, Linten D, Grasser T, Kaczer B. On the Impact of the Gate Work-Function Metal on the Charge Trapping Component of NBTI and PBTI Ieee Transactions On Device and Materials Reliability. 19: 268-274. DOI: 10.1109/Tdmr.2019.2913258 |
0.439 |
|
2019 |
Wu Z, Franco J, Vandooren A, Kaczer B, Roussel P, Rzepa G, Grasser T, Linten D, Groeseneken G. Improved PBTI Reliability in Junction-Less FET Fabricated at Low Thermal Budget for 3-D Sequential Integration Ieee Transactions On Device and Materials Reliability. 19: 262-267. DOI: 10.1109/Tdmr.2019.2906843 |
0.433 |
|
2019 |
Bizindavyi J, Verhulst AS, Verreck D, Soree B, Groeseneken G. Large Variation in Temperature Dependence of Band-to-Band Tunneling Current in Tunnel Devices Ieee Electron Device Letters. 40: 1864-1867. DOI: 10.1109/Led.2019.2939668 |
0.413 |
|
2019 |
Li X, Geens K, Guo W, You S, Zhao M, Fahle D, Odnoblyudov V, Groeseneken G, Decoutere S. Demonstration of GaN Integrated Half-Bridge With On-Chip Drivers on 200-mm Engineered Substrates Ieee Electron Device Letters. 40: 1499-1502. DOI: 10.1109/Led.2019.2929417 |
0.335 |
|
2019 |
Mohammed M, Verhulst AS, Verreck D, Put MLVd, Magnus W, Sorée B, Groeseneken G. Phonon-assisted tunneling in direct-bandgap semiconductors Journal of Applied Physics. 125: 15701. DOI: 10.1063/1.5044256 |
0.374 |
|
2018 |
Putcha V, Franco J, Vais A, Sioncke S, Kaczer B, Linten D, Groeseneken G. On the Apparent Non-Arrhenius Temperature Dependence of Charge Trapping in IIIV/High- ${k}$ MOS Stack Ieee Transactions On Electron Devices. 65: 3689-3696. DOI: 10.1109/Ted.2018.2851189 |
0.414 |
|
2018 |
Li X, Hove MV, Zhao M, Bakeroot B, You S, Groeseneken G, Decoutere S. Investigation on Carrier Transport Through AlN Nucleation Layer From Differently Doped Si(111) Substrates Ieee Transactions On Electron Devices. 65: 1721-1727. DOI: 10.1109/Ted.2018.2810886 |
0.376 |
|
2018 |
Li X, Hove MV, Zhao M, Geens K, Guo W, You S, Stoffels S, Lempinen V, Sormunen J, Groeseneken G, Decoutere S. Suppression of the Backgating Effect of Enhancement-Mode p-GaN HEMTs on 200-mm GaN-on-SOI for Monolithic Integration Ieee Electron Device Letters. 39: 999-1002. DOI: 10.1109/Led.2018.2833883 |
0.368 |
|
2018 |
Verreck D, Verhulst AS, Xiang Y, Yakimets D, Kazzi SE, Parvais B, Groeseneken G, Collaert N, Mocuta A. Built-In Sheet Charge As an Alternative to Dopant Pockets in Tunnel Field-Effect Transistors` Ieee Journal of the Electron Devices Society. 6: 658-663. DOI: 10.1109/Jeds.2018.2835501 |
0.41 |
|
2018 |
Bizindavyi J, Verhulst AS, Smets Q, Verreck D, Soree B, Groeseneken G. Band-Tails Tunneling Resolving the Theory-Experiment Discrepancy in Esaki Diodes Ieee Journal of the Electron Devices Society. 6: 633-641. DOI: 10.1109/Jeds.2018.2834825 |
0.371 |
|
2018 |
Balaji Y, Smets Q, Rosa CJLDL, Lu AKA, Chiappe D, Agarwal T, Lin DHC, Huyghebaert C, Radu I, Mocuta D, Groeseneken G. Tunneling Transistors Based on MoS 2 /MoTe 2 Van der Waals Heterostructures Ieee Journal of the Electron Devices Society. 6: 1048-1055. DOI: 10.1109/Jeds.2018.2815781 |
0.432 |
|
2018 |
Verreck D, Verhulst AS, Put MLVd, Sorée B, Magnus W, Collaert N, Mocuta A, Groeseneken G. Self-consistent procedure including envelope function normalization for full-zone Schrödinger-Poisson problems with transmitting boundary conditions Journal of Applied Physics. 124: 204501. DOI: 10.1063/1.5047087 |
0.303 |
|
2018 |
Wu YC, Kim W, Rao S, Garello K, Beek SV, Couet S, Liu E, Swerts J, Kundu S, Souriau L, Yasin F, Crotti D, Jochum JK, Bael MJV, Houdt JV, ... Groeseneken G, et al. Impact of operating temperature on the electrical and magnetic properties of the bottom-pinned perpendicular magnetic tunnel junctions Applied Physics Letters. 113: 142405. DOI: 10.1063/1.5042028 |
0.325 |
|
2017 |
Gao R, Ji Z, Manut AB, Zhang JF, Franco J, Hatta SWM, Zhang WD, Kaczer B, Linten D, Groeseneken G. NBTI-Generated Defects in Nanoscaled Devices: Fast Characterization Methodology and Modeling Ieee Transactions On Electron Devices. 64: 4011-4017. DOI: 10.1109/Ted.2017.2742700 |
0.415 |
|
2017 |
Florent K, Lavizzari S, Piazza LD, Popovici M, Duan J, Groeseneken G, Houdt JV. Reliability Study of Ferroelectric Al:HfO 2 Thin Films for DRAM and NAND Applications Ieee Transactions On Electron Devices. 64: 4091-4098. DOI: 10.1109/Ted.2017.2742549 |
0.355 |
|
2017 |
Li X, Hove MV, Zhao M, Geens K, Lempinen V, Sormunen J, Groeseneken G, Decoutere S. 200 V Enhancement-Mode p-GaN HEMTs Fabricated on 200 mm GaN-on-SOI With Trench Isolation for Monolithic Integration Ieee Electron Device Letters. 38: 918-921. DOI: 10.1109/Led.2017.2703304 |
0.411 |
|
2017 |
Hu J, Stoffels S, Zhao M, Tallarico AN, Rossetto I, Meneghini M, Kang X, Bakeroot B, Marcon D, Kaczer B, Decoutere S, Groeseneken G. Time-Dependent Breakdown Mechanisms and Reliability Improvement in Edge Terminated AlGaN/GaN Schottky Diodes Under HTRB Tests Ieee Electron Device Letters. 38: 371-374. DOI: 10.1109/Led.2017.2661482 |
0.413 |
|
2017 |
Das UK, Bardon MG, Jang D, Eneman G, Schuddinck P, Yakimets D, Raghavan P, Groeseneken G. Limitations on Lateral Nanowire Scaling Beyond 7-nm Node Ieee Electron Device Letters. 38: 9-11. DOI: 10.1109/Led.2016.2629420 |
0.354 |
|
2017 |
Nag M, Roose FD, Myny K, Steudel S, Genoe J, Groeseneken G, Heremans P. Characteristics improvement of top‐gate self‐aligned amorphous indium gallium zinc oxide thin‐film transistors using a dual‐gate control Journal of the Society For Information Display. 25: 349-355. DOI: 10.1002/Jsid.558 |
0.413 |
|
2016 |
Li Y, Chen C, Willems K, Lagae L, Groeseneken G, Stakenborg T, Van Dorpe P. Asymmetric plasmonic induced ionic noise in metallic nanopores. Nanoscale. PMID 27273622 DOI: 10.1039/C6Nr01837H |
0.313 |
|
2016 |
Bhoolokam A, Nag M, Steudel S, Genoe J, Gelinck G, Kadashchuk A, Groeseneken G, Heremans P. Conduction mechanism in amorphous InGaZnO thin film transistors Japanese Journal of Applied Physics. 55. DOI: 10.7567/Jjap.55.014301 |
0.365 |
|
2016 |
Franco J, Kaczer B, Vais A, Alian A, Arimura H, Putcha V, Sioncke S, Waldron N, Zhou D, Nyns L, Mitard J, Witters L, Heyns M, Groeseneken G, Collaert N, et al. Bias Temperature Instability (BTI) in high-mobility channel devices with high-k dielectric stacks: SiGe, Ge, and InGaAs Mrs Advances. 1: 3329-3340. DOI: 10.1557/Adv.2016.387 |
0.463 |
|
2016 |
Hu J, Stoffels S, Lenci S, Jaeger BD, Ronchi N, Tallarico AN, Wellekens D, You S, Bakeroot B, Groeseneken G, Decoutere S. Statistical Analysis of the Impact of Anode Recess on the Electrical Characteristics of AlGaN/GaN Schottky Diodes With Gated Edge Termination Ieee Transactions On Electron Devices. 63: 3451-3458. DOI: 10.1109/Ted.2016.2587103 |
0.38 |
|
2016 |
Ciofi I, Contino A, Roussel PJ, Baert R, Vega-Gonzalez V, Croes K, Badaroglu M, Wilson CJ, Raghavan P, Mercha A, Verkest D, Groeseneken G, Mocuta D, Thean A. Impact of Wire Geometry on Interconnect RC and Circuit Delay Ieee Transactions On Electron Devices. 63: 2488-2496. DOI: 10.1109/Ted.2016.2554561 |
0.306 |
|
2016 |
Wu TL, Franco J, Marcon D, De Jaeger B, Bakeroot B, Stoffels S, Van Hove M, Groeseneken G, Decoutere S. Toward understanding positive bias temperature instability in fully recessed-gate GaN MISFETs Ieee Transactions On Electron Devices. 63: 1853-1860. DOI: 10.1109/Ted.2016.2539341 |
0.757 |
|
2016 |
Hu J, Stoffels S, Lenci S, Bakeroot B, De Jaeger B, Van Hove M, Ronchi N, Venegas R, Liang H, Zhao M, Groeseneken G, Decoutere S. Performance Optimization of Au-Free Lateral AlGaN/GaN Schottky Barrier Diode With Gated Edge Termination on 200-mm Silicon Substrate Ieee Transactions On Electron Devices. DOI: 10.1109/Ted.2016.2515566 |
0.41 |
|
2016 |
Chen CY, Fantini A, Goux L, Gorine G, Redolfi A, Groeseneken G, Jurczak M. Novel Flexible and Cost-Effective Retention Assessment Method for TMO-Based RRAM Ieee Electron Device Letters. 37: 1112-1115. DOI: 10.1109/Led.2016.2587899 |
0.32 |
|
2016 |
Verreck D, Verhulst AS, Van De Put ML, Sorée B, Collaert N, Mocuta A, Thean A, Groeseneken G. Uniform strain in heterostructure tunnel field-effect transistors Ieee Electron Device Letters. 37: 337-340. DOI: 10.1109/Led.2016.2519681 |
0.342 |
|
2016 |
Hu J, Stoffels S, Lenci S, Groeseneken G, Decoutere S. On the Identification of Buffer Trapping for Bias-Dependent Dynamic $R_{\mathrm{\scriptscriptstyle ON}}$ of AlGaN/GaN Schottky Barrier Diode With AlGaN:C Back Barrier Ieee Electron Device Letters. 37: 310-313. DOI: 10.1109/Led.2016.2514408 |
0.417 |
|
2016 |
Manut AB, Zhang JF, Duan M, Ji Z, Zhang WD, Kaczer B, Schram T, Horiguchi N, Groeseneken G. Impact of Hot Carrier Aging on Random Telegraph Noise and Within a Device Fluctuation Ieee Journal of the Electron Devices Society. 4: 15-21. DOI: 10.1109/Jeds.2015.2502760 |
0.374 |
|
2016 |
Mohammed M, Verhulst AS, Verreck D, Put MVd, Simoen E, Sorée B, Kaczer B, Degraeve R, Mocuta A, Collaert N, Thean A, Groeseneken G. Electric-field induced quantum broadening of the characteristic energy level of traps in semiconductors and oxides Journal of Applied Physics. 120: 245704. DOI: 10.1063/1.4972482 |
0.36 |
|
2016 |
Kaczer B, Franco J, Weckx P, Roussel P, Simicic M, Putcha V, Bury E, Cho MJ, Degraeve R, Linten D, Groeseneken G, Debacker P, Parvais B, Raghavan P, Catthoor F, et al. The defect-centric perspective of device and circuit reliability—From gate oxide defects to circuits Solid-State Electronics. 125: 52-62. DOI: 10.1016/J.Sse.2016.07.010 |
0.391 |
|
2016 |
Chen CY, Goux L, Fantini A, Degraeve R, Redolfi A, Groeseneken G, Jurczak M. Stack optimization of oxide-based RRAM for fast write speed (<1 μs) at low operating current (<10 μA) Solid-State Electronics. 125: 198-203. DOI: 10.1016/J.Sse.2016.05.008 |
0.375 |
|
2016 |
Hu J, Stoffels S, Lenci S, You S, Bakeroot B, Ronchi N, Venegas R, Groeseneken G, Decoutere S. Leakage and trapping characteristics in Au‐free AlGaN/GaN Schottky barrier diodes fabricated on C‐doped buffer layers Physica Status Solidi (a). 213: 1229-1235. DOI: 10.1002/Pssa.201532797 |
0.439 |
|
2016 |
Chen CY, Goux L, Fantini A, Redolfi A, Groeseneken G, Jurczak M. Low‐current operation of novel Gd2O3‐based RRAM cells with large memory window Physica Status Solidi (a). 213: 320-324. DOI: 10.1002/Pssa.201532377 |
0.327 |
|
2015 |
Hu J, Stoffels S, Lenci S, Wu T, Ronchi N, You S, Bakeroot B, Groeseneken G, Decoutere S. Investigation of constant voltage off-state stress on Au-free AlGaN/GaN Schottky barrier diodes Japanese Journal of Applied Physics. 54. DOI: 10.7567/Jjap.54.04Df07 |
0.731 |
|
2015 |
Nag M, Bhoolokam A, Steudel S, Chasin A, Maas J, Genoe J, Murata M, Groeseneken G, Heremans P. Medium frequency physical vapor deposited Al<inf>2</inf>O<inf>3</inf> and SiO<inf>2</inf> as etch-stop-layers for amorphous Indium-Gallium-Zinc-Oxide thin-film-transistors Ecs Journal of Solid State Science and Technology. 4: Q38-Q42. DOI: 10.1149/2.0201505Jss |
0.389 |
|
2015 |
Nag M, Bhoolokam A, Steudel S, Genoe J, Groeseneken G, Heremans P. Impact of the low temperature gate dielectrics on device performance and bias-stress stabilities of a-IGZO thin-film transistors Ecs Journal of Solid State Science and Technology. 4: N99-N102. DOI: 10.1149/2.0121508Jss |
0.416 |
|
2015 |
Ji Z, Zhang X, Franco J, Gao R, Duan M, Zhang JF, Zhang WD, Kaczer B, Alian A, Linten D, Zhou D, Collaert N, De Gendt S, Groeseneken G. An Investigation on Border Traps in III-V MOSFETs With an In0.53Ga0.47As Channel Ieee Transactions On Electron Devices. 62: 3633-3639. DOI: 10.1109/Ted.2015.2475604 |
0.413 |
|
2015 |
Zhang L, Cosemans S, Wouters DJ, Groeseneken G, Jurczak M, Govoreanu B. One-Selector One-Resistor Cross-Point Array With Threshold Switching Selector Ieee Transactions On Electron Devices. 62: 3250-3257. DOI: 10.1109/Ted.2015.2461656 |
0.319 |
|
2015 |
Wu T, Marcon D, You S, Posthuma N, Bakeroot B, Stoffels S, Hove MV, Groeseneken G, Decoutere S. Forward Bias Gate Breakdown Mechanism in Enhancement-Mode p-GaN Gate AlGaN/GaN High-Electron Mobility Transistors Ieee Electron Device Letters. 36: 1001-1003. DOI: 10.1109/Led.2015.2465137 |
0.744 |
|
2015 |
Kaczer B, Franco J, Roussel PJ, Groeseneken G, Chiarella T, Horiguchi N, Grasser T. Extraction of the Random Component of Time-Dependent Variability Using Matched Pairs Ieee Electron Device Letters. 36: 300-302. DOI: 10.1109/Led.2015.2404293 |
0.313 |
|
2015 |
Franco J, Kaczer B, Waldron N, Roussel PJ, Alian A, Pourghaderi MA, Ji Z, Grasser T, Kauerauf T, Sioncke S, Collaert N, Thean A, Groeseneken G. RTN and PBTI-induced time-dependent variability of replacement metal-gate high-k InGaAs FinFETs Technical Digest - International Electron Devices Meeting, Iedm. 2015: 20.2.1-20.2.4. DOI: 10.1109/IEDM.2014.7047087 |
0.311 |
|
2015 |
Nag M, Muller R, Steudel S, Smout S, Bhoolokam A, Myny K, Schols S, Genoe J, Cobb B, Kumar A, Gelinck G, Fukui Y, Groeseneken G, Heremans P. Low-temperature formation of source–drain contacts in self-aligned amorphous oxide thin-film transistors Journal of Information Display. 16: 111-117. DOI: 10.1080/15980316.2015.1043359 |
0.343 |
|
2015 |
Bhoolokam A, Nag M, Chasin A, Steudel S, Genoe J, Gelinck G, Groeseneken G, Heremans P. Analysis of frequency dispersion in amorphous In–Ga–Zn–O thin-film transistors Journal of Information Display. 16: 31-36. DOI: 10.1080/15980316.2014.991769 |
0.337 |
|
2015 |
Wu TL, Marcon D, Bakeroot B, De Jaeger B, Lin HC, Franco J, Stoffels S, Van Hove M, Roelofs R, Groeseneken G, Decoutere S. Correlation of interface states/border traps and threshold voltage shift on AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors Applied Physics Letters. 107. DOI: 10.1063/1.4930076 |
0.753 |
|
2015 |
Hu J, Stoffels S, Lenci S, Bakeroot B, Venegas R, Groeseneken G, Decoutere S. Current transient spectroscopy for trapping analysis on Au-free AlGaN/GaN Schottky barrier diode Applied Physics Letters. 106: 83502. DOI: 10.1063/1.4913575 |
0.437 |
|
2015 |
Wu T, Marcon D, Ronchi N, Bakeroot B, You S, Stoffels S, Hove MV, Bisi D, Meneghini M, Groeseneken G, Decoutere S. Analysis of slow de-trapping phenomena after a positive gate bias on AlGaN/GaN MIS-HEMTs with in-situ Si3N4/Al2O3 bilayer gate dielectrics Solid-State Electronics. 103: 127-130. DOI: 10.1016/J.Sse.2014.08.006 |
0.73 |
|
2015 |
Nag M, Steudel S, Smout S, Bhoolokam A, Genoe J, Cobb B, Kumar A, Groeseneken G, Heremans P. Impact of source/drain contacts formation of self-aligned amorphous-IGZO TFTs on their negative-bias-illumination-stress stabilities Journal of the Society For Information Display. 23: 397-402. DOI: 10.1002/Jsid.351 |
0.332 |
|
2014 |
Nag M, Bhoolokam A, Steudel S, Chasin A, Myny K, Maas J, Groeseneken G, Heremans P. Back-channel-etch amorphous indium-gallium-zinc oxide thin-film transistors: The impact of source/drain metal etch and final passivation Japanese Journal of Applied Physics. 53. DOI: 10.7567/Jjap.53.111401 |
0.382 |
|
2014 |
Camargo VVA, Kaczer B, Wirth G, Grasser T, Groeseneken G. Use of SSTA tools for evaluating BTI impact on combinational circuits Ieee Transactions On Very Large Scale Integration (Vlsi) Systems. 22: 280-285. DOI: 10.1109/Tvlsi.2013.2240323 |
0.306 |
|
2014 |
Duan M, Zhang JF, Ji Z, Zhang WD, Kaczer B, Schram T, Ritzenthaler R, Groeseneken G, Asenov A. Development of a technique for characterizing bias temperature instability-induced device-to-device variation at SRAM-relevant conditions Ieee Transactions On Electron Devices. 61: 3081-3089. DOI: 10.1109/Ted.2014.2335053 |
0.405 |
|
2014 |
Ma J, Zhang JF, Ji Z, Benbakhti B, Zhang WD, Zheng XF, Mitard J, Kaczer B, Groeseneken G, Hall S, Robertson J, Chalker PR. Characterization of Negative-Bias Temperature Instability of Ge MOSFETs With Stack Ieee Transactions On Electron Devices. 61: 1307-1315. DOI: 10.1109/Ted.2014.2314178 |
0.389 |
|
2014 |
Aoulaiche M, Bravaix A, Simoen E, Caillat C, Cho M, Witters L, Blomme P, Fazan P, Groeseneken G, Jurczak M. Endurance of One Transistor Floating Body RAM on UTBOX SOI Ieee Transactions On Electron Devices. 61: 801-805. DOI: 10.1109/Ted.2014.2301913 |
0.434 |
|
2014 |
Walke AM, Vandooren A, Rooyackers R, Leonelli D, Hikavyy A, Loo R, Verhulst AS, Kao KH, Huyghebaert C, Groeseneken G, Rao VR, Bhuwalka KK, Heyns MM, Collaert N, Thean AVY. Fabrication and analysis of a Si/Si0.55Ge0.45 heterojunction line tunnel FET Ieee Transactions On Electron Devices. 61: 707-715. DOI: 10.1109/Ted.2014.2299337 |
0.463 |
|
2014 |
Weckx P, Kaczer B, Toledano-Luque M, Raghavan P, Franco J, Roussel PJ, Groeseneken G, Catthoor F. Implications of BTI-induced time-dependent statistics on yield estimation of digital circuits Ieee Transactions On Electron Devices. 61: 666-673. DOI: 10.1109/Ted.2013.2296358 |
0.334 |
|
2014 |
Cho M, Arimura H, Lee JW, Kaczer B, Veloso A, Boccardi G, Ragnarsson L, Kauerauf T, Horiguchi N, Groeseneken G. Improved Channel Hot-Carrier Reliability in $p$ -FinFETs With Replacement Metal Gate by a Nitrogen Postdeposition Anneal Process Ieee Transactions On Device and Materials Reliability. 14: 408-412. DOI: 10.1109/Tdmr.2013.2284794 |
0.457 |
|
2014 |
Tallarico AN, Cho M, Franco J, Ritzenthaler R, Togo M, Horiguchi N, Groeseneken G, Crupi F. Impact of the Substrate Orientation on CHC Reliability in n-FinFETs—Separation of the Various Contributions Ieee Transactions On Device and Materials Reliability. 14: 52-56. DOI: 10.1109/Tdmr.2013.2271705 |
0.45 |
|
2014 |
Kükner H, Khan S, Weckx P, Raghavan P, Hamdioui S, Kaczer B, Catthoor F, Van Der Perre L, Lauwereins R, Groeseneken G. Comparison of reaction-diffusion and atomistic trap-based BTI models for logic gates Ieee Transactions On Device and Materials Reliability. 14: 182-193. DOI: 10.1109/Tdmr.2013.2267274 |
0.345 |
|
2014 |
Ma J, Zhang JF, Ji Z, Benbakhti B, Zhang W, Mitard J, Kaczer B, Groeseneken G, Hall S, Robertson J, Chalker P. Energy Distribution of Positive Charges in ${\rm Al}_{2}{\rm O}_{3}{\rm GeO}_{2}/{\rm Ge}$ pMOSFETs Ieee Electron Device Letters. 35: 160-162. DOI: 10.1109/Led.2013.2295516 |
0.37 |
|
2014 |
Zhang L, Redolfi A, Adelmann C, Clima S, Radu IP, Chen Y, Wouters DJ, Groeseneken G, Jurczak M, Govoreanu B. Ultrathin Metal/Amorphous-Silicon/Metal Diode for Bipolar RRAM Selector Applications Ieee Electron Device Letters. 35: 199-201. DOI: 10.1109/Led.2013.2293591 |
0.388 |
|
2014 |
Bhoolokam A, Nag M, Chasin A, Steudel S, Genoe J, Gelinck G, Groeseneken G, Heremans P. Impact of etch stop layer on negative bias illumination stress of amorphous Indium Gallium Zinc Oxide transistors European Solid-State Device Research Conference. 302-304. DOI: 10.1109/ESSDERC.2014.6948820 |
0.301 |
|
2014 |
Simoen E, Federico A, Aoulaiche M, Ritzenthaler R, Schram T, Arimura H, Cho M, Kauerauf T, Groeseneken G, Horiguchi N, Thean A, Crupi F, Spessot A, Caillat C, Fazan P, et al. Low-frequency noise assessment of border traps in Al2O3 capped DRAM peripheral MOSFETs Semiconductor Science and Technology. 29. DOI: 10.1088/0268-1242/29/11/115015 |
0.366 |
|
2014 |
Verreck D, Verhulst AS, Sorée B, Collaert N, Mocuta A, Thean A, Groeseneken G. Improved source design for p-type tunnel field-effect transistors: Towards truly complementary logic Applied Physics Letters. 105. DOI: 10.1063/1.4904712 |
0.357 |
|
2014 |
Verhulst AS, Verreck D, Pourghaderi MA, Van De Put M, Sorée B, Groeseneken G, Collaert N, Thean AVY. Can p-channel tunnel field-effect transistors perform as good as n-channel? Applied Physics Letters. 105. DOI: 10.1063/1.4891348 |
0.376 |
|
2014 |
Smets Q, Verreck D, Verhulst AS, Rooyackers R, Merckling C, Van De Put M, Simoen E, Vandervorst W, Collaert N, Thean VY, Sorée B, Groeseneken G, Heyns MM. InGaAs tunnel diodes for the calibration of semi-classical and quantum mechanical band-to-band tunneling models Journal of Applied Physics. 115. DOI: 10.1063/1.4875535 |
0.328 |
|
2014 |
Verreck D, Van De Put M, Sorée B, Verhulst AS, Magnus W, Vandenberghe WG, Collaert N, Thean A, Groeseneken G. Quantum mechanical solver for confined heterostructure tunnel field-effect transistors Journal of Applied Physics. 115. DOI: 10.1063/1.4864128 |
0.34 |
|
2014 |
Wu T, Marcon D, Stoffels S, You S, Jaeger BD, Hove MV, Groeseneken G, Decoutere S. Stability evaluation of Au-free ohmic contacts on AlGaN/GaN HEMTs under a constant current stress Microelectronics Reliability. 54: 2232-2236. DOI: 10.1016/J.Microrel.2014.07.076 |
0.733 |
|
2014 |
Hu J, Stoffels S, Lenci S, Ronchi N, Venegas R, You S, Bakeroot B, Groeseneken G, Decoutere S. Physical Origin of Current Collapse in Au-free AlGaN/GaN Schottky Barrier Diodes Microelectronics Reliability. 54: 2196-2199. DOI: 10.1016/J.Microrel.2014.07.031 |
0.416 |
|
2014 |
Hatta SWM, Ji Z, Zhang J, Zhang W, Soin N, Kaczer B, Gendt SD, Groeseneken G. Energy distribution of positive charges in high-k dielectric Microelectronics Reliability. 54: 2329-2333. DOI: 10.1016/J.Microrel.2014.07.030 |
0.369 |
|
2014 |
Dou C, Lin D, Vais A, Ivanov T, Chen HP, Martens K, Kakushima K, Iwai H, Taur Y, Thean A, Groeseneken G. Determination of energy and spatial distribution of oxide border traps in In0.53Ga0.47As MOS capacitors from capacitance-voltage characteristics measured at various temperatures Microelectronics Reliability. 54: 746-754. DOI: 10.1016/J.Microrel.2013.12.023 |
0.34 |
|
2014 |
Kükner H, Weckx P, Morrison S, Franco J, Toledano-Luque M, Cho M, Raghavan P, Kaczer B, Jang D, Miyaguchi K, Bardon MG, Catthoor F, Van der Perre L, Lauwereins R, Groeseneken G. Comparison of NBTI aging on adder architectures and ring oscillators in the downscaling technology nodes Microprocessors and Microsystems. DOI: 10.1016/J.Micpro.2015.06.008 |
0.302 |
|
2014 |
Hu J, Lenci S, Stoffels S, Jaeger BD, Groeseneken G, Decoutere S. Leakage-current reduction and improved on-state performance of Au-free AlGaN/GaN-on-Si Schottky diode by embedding the edge terminations in the anode region Physica Status Solidi (C). 11: 862-865. DOI: 10.1002/Pssc.201300472 |
0.42 |
|
2014 |
Nag M, Bhoolokam A, Smout S, Willegems M, Muller R, Myny K, Schols S, Ameys M, Genoe J, Ke TH, Vicca P, Ellis T, Cobb B, Kumar A, Van Der Steen JLPJ, ... ... Groeseneken G, et al. Circuits and AMOLED display with self-aligned a-IGZO TFTs on polyimide foil Journal of the Society For Information Display. 22: 509-517. DOI: 10.1002/Jsid.281 |
0.376 |
|
2014 |
Nag M, Bhoolokam A, Steudel S, Chasin A, Groeseneken G, Heremans P. Comparative study of source-drain contact metals for amorphous InGaZnO thin-film transistors Journal of the Society For Information Display. 22: 310-315. DOI: 10.1002/Jsid.250 |
0.339 |
|
2014 |
Nag M, Steudel S, Bhoolokam A, Chasin A, Rockele M, Myny K, Maas J, Fritz T, Trube J, Groeseneken G, Heremans P. High performance a-IGZO thin-film transistors with mf-PVD SiO2 as an etch-stop-layer Journal of the Society For Information Display. 22: 23-28. DOI: 10.1002/Jsid.212 |
0.407 |
|
2013 |
Lee JW, Simoen E, Veloso A, Cho MJ, Boccardi G, Ragnarsson LÅ, Chiarella T, Horiguchi N, Groeseneken G, Thean A. Sidewall crystalline orientation effect of post-treatments for a replacement metal gate bulk fin field effect transistor. Acs Applied Materials & Interfaces. 5: 8865-8. PMID 24007291 DOI: 10.1021/Am403270M |
0.387 |
|
2013 |
Toledano-Luque M, Kaczer B, Grasser T, Roussel PJ, Franco J, Groeseneken G. Toward a streamlined projection of small device bias temperature instability lifetime distributions Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 31. DOI: 10.1116/1.4772587 |
0.391 |
|
2013 |
Toledano-Luque M, Tang B, Degraeve R, Kaczer B, Simoen E, Houdt JV, Groeseneken G. Spectroscopic study of polysilicon traps by means of fast capacitance transients Journal of Vacuum Science & Technology B. 31. DOI: 10.1116/1.4768682 |
0.399 |
|
2013 |
Kaczer B, Clima S, Tomida K, Govoreanu B, Popovici M, Kim MS, Swerts J, Belmonte A, Wang WC, Afanas'Ev VV, Verhulst AS, Pourtois G, Groeseneken G, Jurczak M. Considerations for further scaling of metal-insulator-metal DRAM capacitors Journal of Vacuum Science and Technology B:Nanotechnology and Microelectronics. 31. DOI: 10.1116/1.4767125 |
0.322 |
|
2013 |
Walke AM, Verhulst AS, Vandooren A, Verreck D, Simoen E, Rao VR, Groeseneken G, Collaert N, Thean AVY. Part I: Impact of field-induced quantum confinement on the subthreshold swing behavior of line TFETs Ieee Transactions On Electron Devices. 60: 4057-4064. DOI: 10.1109/Ted.2013.2287259 |
0.397 |
|
2013 |
Walke AM, Vandooren A, Kaczer B, Verhulst AS, Rooyackers R, Simoen E, Heyns MM, Rao VR, Groeseneken G, Collaert N, Thean AVY. Part II: Investigation of subthreshold swing in line tunnel FETs using bias stress measurements Ieee Transactions On Electron Devices. 60: 4065-4072. DOI: 10.1109/Ted.2013.2287253 |
0.388 |
|
2013 |
Cho M, Roussel P, Kaczer B, Degraeve R, Franco J, Aoulaiche M, Chiarella T, Kauerauf T, Horiguchi N, Groeseneken G. Channel Hot Carrier Degradation Mechanism in Long/Short Channel $n$ -FinFETs Ieee Transactions On Electron Devices. 60: 4002-4007. DOI: 10.1109/Ted.2013.2285245 |
0.412 |
|
2013 |
Lee JW, Simoen E, Veloso A, Cho MJ, Arimura H, Boccardi G, Ragnarsson L, Chiarella T, Horiguchi N, Thean A, Groeseneken G. Low Frequency Noise Analysis for Post-Treatment of Replacement Metal Gate Ieee Transactions On Electron Devices. 60: 2960-2962. DOI: 10.1109/Ted.2013.2274152 |
0.396 |
|
2013 |
Duan M, Zhang JF, Ji Z, Zhang WD, Kaczer B, Schram T, Ritzenthaler R, Groeseneken G, Asenov A. New analysis method for time-dependent device-to-device variation accounting for within-device fluctuation Ieee Transactions On Electron Devices. 60: 2505-2511. DOI: 10.1109/Ted.2013.2270893 |
0.393 |
|
2013 |
Verreck D, Verhulst AS, Kao KH, Vandenberghe WG, De Meyer K, Groeseneken G. Quantum mechanical performance predictions of p-n-i-n versus pocketed line tunnel field-effect transistors Ieee Transactions On Electron Devices. 60: 2128-2134. DOI: 10.1109/Ted.2013.2260237 |
0.357 |
|
2013 |
Hatta SWM, Ji Z, Zhang JF, Duan M, Zhang WD, Soin N, Kaczer B, Gendt SD, Groeseneken G. Energy Distribution of Positive Charges in Gate Dielectric: Probing Technique and Impacts of Different Defects Ieee Transactions On Electron Devices. 60: 1745-1753. DOI: 10.1109/Ted.2013.2255129 |
0.379 |
|
2013 |
Walke AM, Vandenberghe WG, Kao K, Vandooren A, Groeseneken G. A Simulation Study on Process Sensitivity of a Line Tunnel Field-Effect Transistor Ieee Transactions On Electron Devices. 60: 1019-1027. DOI: 10.1109/Ted.2013.2242201 |
0.425 |
|
2013 |
Chen YY, Goux L, Clima S, Govoreanu B, Degraeve R, Kar GS, Fantini A, Groeseneken G, Wouters DJ, Jurczak M. Endurance/Retention Trade-off on $\hbox{HfO}_{2}/\hbox{Metal}$ Cap 1T1R Bipolar RRAM Ieee Transactions On Electron Devices. 60: 1114-1121. DOI: 10.1109/Ted.2013.2241064 |
0.353 |
|
2013 |
Crupi F, Albano D, Alioto M, Franco J, Selmi L, Mitard J, Groeseneken G. Impact of high-mobility materials on the performance of near- and sub-threshold CMOS logic circuits Ieee Transactions On Electron Devices. 60: 972-977. DOI: 10.1109/Ted.2013.2240685 |
0.381 |
|
2013 |
Franco J, Kaczer B, Roussel PJ, Mitard J, Cho M, Witters L, Grasser T, Groeseneken G. SiGe Channel Technology: Superior Reliability Toward Ultrathin EOT Devices—Part I: NBTI Ieee Transactions On Electron Devices. 60: 396-404. DOI: 10.1109/Ted.2012.2225625 |
0.404 |
|
2013 |
Franco J, Kaczer B, Toledano-Luque M, Roussel PJ, Kauerauf T, Mitard J, Witters L, Grasser T, Groeseneken G. SiGe Channel Technology: Superior Reliability Toward Ultra-Thin EOT Devices—Part II: Time-Dependent Variability in Nanoscaled Devices and Other Reliability Issues Ieee Transactions On Electron Devices. 60: 405-412. DOI: 10.1109/Ted.2012.2225624 |
0.459 |
|
2013 |
Duan M, Zhang JF, Ji Z, Zhang WD, Kaczer B, Gendt SD, Groeseneken G. New Insights Into Defect Loss, Slowdown, and Device Lifetime Enhancement Ieee Transactions On Electron Devices. 60: 413-419. DOI: 10.1109/Ted.2012.2223702 |
0.407 |
|
2013 |
Cho M, Ritzenthaler R, Krom R, Higuchi Y, Kaczer B, Chiarella T, Boccardi G, Togo M, Horiguchi N, Kauerauf T, Groeseneken G. Negative Bias Temperature Instability in p-FinFETs With 45 $^{\circ}$ Substrate Rotation Ieee Electron Device Letters. 34: 1211-1213. DOI: 10.1109/Led.2013.2273361 |
0.392 |
|
2013 |
Raghavan N, Degraeve R, Fantini A, Goux L, Wouters DJ, Groeseneken G, Jurczak M. Modeling the Impact of Reset Depth on Vacancy-Induced Filament Perturbations in ${\rm HfO}_{2}$ RRAM Ieee Electron Device Letters. 34: 614-616. DOI: 10.1109/Led.2013.2254462 |
0.386 |
|
2013 |
Cho M, Kaczer B, Kauerauf T, Ragnarsson L, Groeseneken G. Improved NBTI reliability with sub-1-nanometer EOT ZrO 2 gate dielectric compared with HfO 2 Ieee Electron Device Letters. 34: 593-595. DOI: 10.1109/Led.2013.2253755 |
0.476 |
|
2013 |
Chen YY, Degraeve R, Govoreanu B, Clima S, Goux L, Fantini A, Kar GS, Wouters DJ, Groeseneken G, Jurczak M. Postcycling LRS Retention Analysis in HfO 2 /Hf RRAM 1T1R Device Ieee Electron Device Letters. 34: 626-628. DOI: 10.1109/Led.2013.2251857 |
0.339 |
|
2013 |
Wu Y, Wouters DJ, Hendrickx P, Zhang L, Chen YY, Goux L, Fantini A, Groeseneken G, Jurczak M. On the bipolar resistive switching memory using TiN/Hf/HfO2/Si MIS structure Ieee Electron Device Letters. 34: 414-416. DOI: 10.1109/Led.2013.2241726 |
0.396 |
|
2013 |
Lee JW, Sasaki Y, Cho MJ, Togo M, Boccardi G, Ritzenthaler R, Eneman G, Chiarella T, Brus S, Horiguchi N, Groeseneken G, Thean A. Plasma doping and reduced crystalline damage for conformally doped fin field effect transistors Applied Physics Letters. 102: 223508. DOI: 10.1063/1.4809755 |
0.329 |
|
2013 |
Celano U, Chen YY, Wouters DJ, Groeseneken G, Jurczak M, Vandervorst W. Filament observation in metal-oxide resistive switching devices Applied Physics Letters. 102: 121602. DOI: 10.1063/1.4798525 |
0.371 |
|
2013 |
Lee JW, Cho Mj, Simoen E, Ritzenthaler R, Togo M, Boccardi G, Mitard J, Ragnarsson L˚, Chiarella T, Veloso A, Horiguchi N, Thean A, Groeseneken G. 1/f noise analysis of replacement metal gate bulk p-type fin field effect transistor Applied Physics Letters. 102: 73503. DOI: 10.1063/1.4793306 |
0.404 |
|
2013 |
Vandenberghe WG, Verhulst AS, Sorée B, Magnus W, Groeseneken G, Smets Q, Heyns M, Fischetti MV. Figure of merit for and identification of sub-60 mV/decade devices Applied Physics Letters. 102. DOI: 10.1063/1.4773521 |
0.406 |
|
2013 |
Ritzenthaler R, Schram T, Bury E, Spessot A, Caillat C, Srividya V, Sebaai F, Mitard J, Ragnarsson L, Groeseneken G, Horiguchi N, Fazan P, Thean A. Low-power DRAM-compatible Replacement Gate High-k/Metal Gate Stacks Solid-State Electronics. 84: 22-27. DOI: 10.1016/J.Sse.2013.02.026 |
0.377 |
|
2013 |
Vandooren A, Leonelli D, Rooyackers R, Hikavyy A, Devriendt K, Demand M, Loo R, Groeseneken G, Huyghebaert C. Analysis of trap-assisted tunneling in vertical Si homo-junction and SiGe hetero-junction Tunnel-FETs Solid-State Electronics. 83: 50-55. DOI: 10.1016/J.Sse.2013.01.026 |
0.424 |
|
2013 |
Kükner H, Weckx P, Raghavan P, Kaczer B, Catthoor F, Van Der Perre L, Lauwereins R, Groeseneken G. Impact of duty factor, stress stimuli, gate and drive strength on gate delay degradation with an atomistic trap-based BTI model Microprocessors and Microsystems. 37: 792-800. DOI: 10.1016/J.Micpro.2013.04.009 |
0.404 |
|
2013 |
Kaczer B, Toledano-Luque M, Goes W, Grasser T, Groeseneken G. Gate current random telegraph noise and single defect conduction Microelectronic Engineering. 109: 123-125. DOI: 10.1016/J.Mee.2013.03.110 |
0.435 |
|
2013 |
Raghavan N, Fantini A, Degraeve R, Roussel PJ, Goux L, Govoreanu B, Wouters DJ, Groeseneken G, Jurczak M. Statistical insight into controlled forming and forming free stacks for HfOx RRAM Microelectronic Engineering. 109: 177-181. DOI: 10.1016/J.Mee.2013.03.065 |
0.359 |
|
2013 |
Toledano-Luque M, Kaczer B, Aoulaiche M, Spessot A, Roussel PJ, Ritzenthaler R, Schram T, Thean A, Groeseneken G. Analytical model for anomalous Positive Bias Temperature Instability in La-based HfO2 nFETs based on independent characterization of charging components Microelectronic Engineering. 109: 314-317. DOI: 10.1016/J.Mee.2013.03.033 |
0.399 |
|
2013 |
Ma J, Zhang JF, Ji Z, Benbakhti B, Duan M, Zhang W, Zheng XF, Mitard J, Kaczer B, Groeseneken G, Hall S, Robertson J, Chalker P. Towards understanding hole traps and NBTI of Ge/GeO2/Al2O3 structure Microelectronic Engineering. 109: 43-45. DOI: 10.1016/J.Mee.2013.03.018 |
0.391 |
|
2013 |
Franco J, Kaczer B, Toledano-Luque M, Roussel PJ, Cho M, Kauerauf T, Mitard J, Eneman G, Witters L, Grasser T, Groeseneken G. Superior reliability of high mobility (Si)Ge channel pMOSFETs Microelectronic Engineering. 109: 250-256. DOI: 10.1016/J.Mee.2013.03.001 |
0.421 |
|
2013 |
Chen YY, Goux L, Pantisano L, Swerts J, Adelmann C, Mertens S, Afanasiev VV, Wang XP, Govoreanu B, Degraeve R, Kubicek S, Paraschiv V, Verbrugge B, Jossart N, Altimime L, ... ... Groeseneken G, et al. Scaled X-bar TiN/HfO2/TiN RRAM cells processed with optimized plasma enhanced atomic layer deposition (PEALD) for TiN electrode Microelectronic Engineering. 112: 92-96. DOI: 10.1016/J.Mee.2013.02.087 |
0.342 |
|
2013 |
Amat E, Kauerauf T, Rodriguez R, Nafria M, Aymerich X, Degraeve R, Groeseneken G. A comprehensive study of channel hot-carrier degradation in short channel MOSFETs with high-k dielectrics Microelectronic Engineering. 103: 144-149. DOI: 10.1016/J.Mee.2012.10.011 |
0.378 |
|
2013 |
Nag M, Rockele M, Steudel S, Chasin A, Myny K, Bhoolokam A, Willegems M, Smout S, Vicca P, Ameys M, Ke TH, Schols S, Genoe J, Van Der Steen JLPJ, Groeseneken G, et al. Novel back-channel-etch process flow based a-IGZO TFTs for circuit and display applications on PEN foil Journal of the Society For Information Display. 21: 369-375. DOI: 10.1002/Jsid.189 |
0.386 |
|
2012 |
Sangameswaran S, Coster JD, Groeseneken G, Wolf IJD. Reliability test methodology for MEMS and MOEMS under electrical overstress and electrostatic discharge stress Journal of Micro-Nanolithography Mems and Moems. 11. DOI: 10.1117/1.Jmm.11.2.021204 |
0.378 |
|
2012 |
Crupi F, Alioto M, Franco J, Magnone P, Kaczer B, Groeseneken G, Mitard J, Witters L, Hoffmann TY. Buried Silicon-Germanium pMOSFETs: Experimental Analysis in VLSI Logic Circuits Under Aggressive Voltage Scaling Ieee Transactions On Very Large Scale Integration Systems. 20: 1487-1495. DOI: 10.1109/Tvlsi.2011.2159870 |
0.391 |
|
2012 |
Mahatme NN, Zhang EX, Reed RA, Bhuva BL, Schrimpf RD, Fleetwood DM, Linten D, Simoen E, Griffoni A, Aoulaiche M, Jurczak M, Groeseneken G. Impact of back-gate bias and device geometry on the total ionizing dose response of 1-transistor floating body rams Ieee Transactions On Nuclear Science. 59: 2966-2973. DOI: 10.1109/Tns.2012.2223828 |
0.405 |
|
2012 |
Griffoni A, Duivenbode Jv, Linten D, Simoen E, Rech P, Dilillo L, Wrobel F, Verbist P, Groeseneken G. Neutron-Induced Failure in Silicon IGBTs, Silicon Super-Junction and SiC MOSFETs Ieee Transactions On Nuclear Science. 59: 866-871. DOI: 10.1109/Tns.2011.2180924 |
0.361 |
|
2012 |
Aoulaiche M, Nicoletti T, Almeida LM, Simoen E, Veloso A, Blomme P, Groeseneken G, Jurczak M. Junction Field Effect on the Retention Time for One-Transistor Floating-Body RAM Ieee Transactions On Electron Devices. 59: 2167-2172. DOI: 10.1109/Ted.2012.2200685 |
0.36 |
|
2012 |
Kao KH, Verhulst AS, Vandenberghe WG, Sorée B, Magnus W, Leonelli D, Groeseneken G, De Meyer K. Optimization of gate-on-source-only tunnel FETs with counter-doped pockets Ieee Transactions On Electron Devices. 59: 2070-2077. DOI: 10.1109/Ted.2012.2200489 |
0.409 |
|
2012 |
Cho M, Lee J, Aoulaiche M, Kaczer B, Roussel P, Kauerauf T, Degraeve R, Franco J, Ragnarsson L, Groeseneken G. Insight Into N/PBTI Mechanisms in Sub-1-nm-EOT Devices Ieee Transactions On Electron Devices. 59: 2042-2048. DOI: 10.1109/Ted.2012.2199496 |
0.439 |
|
2012 |
Ji Z, Zhang JF, Zhang WD, Kaczer B, Gendt SD, Groeseneken G. Interface States Beyond Band Gap and Their Impact on Charge Carrier Mobility in MOSFETs Ieee Transactions On Electron Devices. 59: 783-790. DOI: 10.1109/Ted.2011.2177839 |
0.371 |
|
2012 |
Kao KH, Verhulst AS, Vandenberghe WG, Soree B, Groeseneken G, De Meyer K. Direct and indirect band-to-band tunneling in germanium-based TFETs Ieee Transactions On Electron Devices. 59: 292-301. DOI: 10.1109/Ted.2011.2175228 |
0.33 |
|
2012 |
Chen S, Griffoni A, Srivastava P, Linten D, Thijs S, Scholz M, Denis M, Gallerano A, Lafonteese D, Concannon A, Vashchenko VA, Hopper P, Bychikhin S, Pogany D, Hove MV, ... ... Groeseneken G, et al. HBM ESD Robustness of GaN-on-Si Schottky Diodes Ieee Transactions On Device and Materials Reliability. 12: 589-598. DOI: 10.1109/Tdmr.2012.2217746 |
0.4 |
|
2012 |
Sahhaf S, Degraeve R, Srividya V, De Brabanter K, Schram T, Gilbert M, Vandervorst W, Groeseneken G. HfSiO bulk trap density controls the initial V th in nMOSFETs Ieee Transactions On Device and Materials Reliability. 12: 323-334. DOI: 10.1109/Tdmr.2012.2182997 |
0.32 |
|
2012 |
Feijoo PC, Kauerauf T, Toledano-Luque M, Togo M, Andres ES, Groeseneken G. Time-Dependent Dielectric Breakdown on Subnanometer EOT nMOS FinFETs Ieee Transactions On Device and Materials Reliability. 12: 166-170. DOI: 10.1109/Tdmr.2011.2180387 |
0.444 |
|
2012 |
Sahhaf S, De Brabanter K, Degraeve R, Suykens JAK, De Moor B, Groeseneken G. Modeling of charge-trapping/detrapping-induced voltage instability in high-k gate dielectrics Ieee Transactions On Device and Materials Reliability. 12: 152-157. DOI: 10.1109/Tdmr.2011.2178073 |
0.393 |
|
2012 |
Martin-Martinez J, Kaczer B, Degraeve R, Roussel PJ, Rodriguez R, Nafria M, Aymerich X, Dierickx B, Groeseneken G. Circuit Design-Oriented Stochastic Piecewise Modeling of the Postbreakdown Gate Current in MOSFETs: Application to Ring Oscillators Ieee Transactions On Device and Materials Reliability. 12: 78-85. DOI: 10.1109/Tdmr.2011.2162238 |
0.383 |
|
2012 |
Crupi F, Alioto M, Franco J, Magnone P, Togo M, Horiguchi N, Groeseneken G. Understanding the Basic Advantages of Bulk FinFETs for Sub- and Near-Threshold Logic Circuits From Device Measurements Ieee Transactions On Circuits and Systems Ii-Express Briefs. 59: 439-442. DOI: 10.1109/Tcsii.2012.2200171 |
0.44 |
|
2012 |
Benbakhti B, Zhang JF, Ji Z, Zhang W, Mitard J, Kaczer B, Groeseneken G, Hall S, Robertson J, Chalker P. Characterization of Electron Traps in Si-Capped Ge MOSFETs With $\hbox{HfO}_{2}/\hbox{SiO}_{2}$ Gate Stack Ieee Electron Device Letters. 33: 1681-1683. DOI: 10.1109/Led.2012.2218565 |
0.433 |
|
2012 |
Chen S, Lin Y, Linten D, Scholz M, Hellings G, Chang EY, Groeseneken G. Influence of InGaP and AlGaAs Schottky Layers on ESD Robustness in GaAs pHEMTs Ieee Electron Device Letters. 33: 1252-1254. DOI: 10.1109/Led.2012.2204951 |
0.404 |
|
2012 |
Kim JJ, Cho M, Pantisano L, Jung U, Lee YG, Chiarella T, Togo M, Horiguchi N, Groeseneken G, Lee BH. Process-Dependent N/PBTI Characteristics of TiN Gate FinFETs Ieee Electron Device Letters. 33: 937-939. DOI: 10.1109/Led.2012.2193868 |
0.404 |
|
2012 |
Franco J, Kaczer B, Toledano-Luque M, Bukhori MF, Roussel PJ, Grasser T, Asenov A, Groeseneken G. Impact of Individual Charged Gate-Oxide Defects on the Entire $I_{D}$ – $V_{G}$ Characteristic of Nanoscaled FETs Ieee Electron Device Letters. 33: 779-781. DOI: 10.1109/Led.2012.2192410 |
0.436 |
|
2012 |
Chen YY, Goux L, Swerts J, Toeller M, Adelmann C, Kittl J, Jurczak M, Groeseneken G, Wouters DJ. Hydrogen-Induced Resistive Switching in TiN/ALD $ \hbox{HfO}_{2}$ /PEALD TiN RRAM Device Ieee Electron Device Letters. 33: 483-485. DOI: 10.1109/Led.2012.2185212 |
0.349 |
|
2012 |
Duan M, Zhang JF, Ji Z, Zhang W, Kaczer B, Gendt SD, Groeseneken G. Defect Loss: A New Concept for Reliability of MOSFETs Ieee Electron Device Letters. 33: 480-482. DOI: 10.1109/Led.2012.2185033 |
0.334 |
|
2012 |
Vandenberghe WG, Verhulst AS, Kao KH, Meyer KD, Sorée B, Magnus W, Groeseneken G. A model determining optimal doping concentration and material's band gap of tunnel field-effect transistors Applied Physics Letters. 100. DOI: 10.1063/1.4714544 |
0.362 |
|
2012 |
Chen YY, Pourtois G, Adelmann C, Goux L, Govoreanu B, Degreave R, Jurczak M, Kittl JA, Groeseneken G, Wouters DJ. Insights into Ni-filament formation in unipolar-switching Ni/HfO2/TiN resistive random access memory device Applied Physics Letters. 100: 113513. DOI: 10.1063/1.3695078 |
0.303 |
|
2012 |
Vandooren A, Leonelli D, Rooyackers R, Arstila K, Groeseneken G, Huyghebaert C. Impact of process and geometrical parameters on the electrical characteristics of vertical nanowire silicon n-TFETs Solid-State Electronics. 72: 82-87. DOI: 10.1016/J.Sse.2011.12.008 |
0.399 |
|
2012 |
Kao KH, Verhulst AS, Vandenberghe WG, Sorée B, Groeseneken G, Meyer KD. Modeling the impact of junction angles in tunnel field-effect transistors Solid-State Electronics. 69: 31-37. DOI: 10.1016/J.Sse.2011.10.032 |
0.381 |
|
2012 |
Toledano-Luque M, Kaczer B, Franco J, Roussel P, Grasser T, Groeseneken G. Defect-centric perspective of time-dependent BTI variability Microelectronics Reliability. 52: 1883-1890. DOI: 10.1016/J.Microrel.2012.06.120 |
0.409 |
|
2012 |
Franco J, Graziano S, Kaczer B, Crupi F, Ragnarsson L, Grasser T, Groeseneken G. BTI reliability of ultra-thin EOT MOSFETs for sub-threshold logic Microelectronics Reliability. 52: 1932-1935. DOI: 10.1016/J.Microrel.2012.06.058 |
0.395 |
|
2012 |
Pathangi H, Groeseneken G, Witvrouw A. Dielectrophoretic assembly of suspended single-walled carbon nanotubes Microelectronic Engineering. 98: 218-221. DOI: 10.1016/J.Mee.2012.07.020 |
0.316 |
|
2011 |
Chiodarelli N, Delabie A, Masahito S, Kashiwagi Y, Richard O, Bender H, Cott DJ, Heyns M, Gendt SD, Groeseneken G, Vereecken PM. ALD of Al 2 O 3 for Carbon Nanotube vertical interconnect and its impact on the electrical properties Mrs Proceedings. 1283: 46-54. DOI: 10.1557/Opl.2011.727 |
0.342 |
|
2011 |
Leonelli D, Vandooren A, Rooyackers R, Verhulst AS, De Gendt S, Heyns MM, Groeseneken G. Silicide Engineering to Boost Si tunnel transistor drive current Japanese Journal of Applied Physics. 50. DOI: 10.1143/Jjap.50.04Dc05 |
0.479 |
|
2011 |
Toledano-Luque M, Kaczer B, Roussel P, Cho MJ, Grasser T, Groeseneken G. Temperature dependence of the emission and capture times of SiON individual traps after positive bias temperature stress Journal of Vacuum Science & Technology B. 29. DOI: 10.1116/1.3532947 |
0.418 |
|
2011 |
Kaczer B, Grasser T, Franco J, Toledano-Luque M, Roussel PJ, Cho M, Simoen E, Groeseneken G. Recent Trends in Bias Temperature Instability Journal of Vacuum Science & Technology B. 29: 5-19. DOI: 10.1116/1.3521505 |
0.394 |
|
2011 |
Franco J, Eneman G, Kaczer B, Mitard J, Jaeger BD, Groeseneken G. Impact of halo implant on the hot carrier reliability of germanium p-channel metal-oxide-semiconductor field-effect transitors Journal of Vacuum Science & Technology B. 29. DOI: 10.1116/1.3520647 |
0.411 |
|
2011 |
Cho M, Kaczer B, Aoulaiche M, Degraeve R, Roussel P, Franco J, Kauerauf T, Ragnarsson LA, Hoffmann TY, Groeseneken G. Interface Trap Characterization of a 5.8- $\hbox{\rm{ \AA}}$ EOT p-MOSFET Using High-Frequency On-Chip Ring Oscillator Charge Pumping Technique Ieee Transactions On Electron Devices. 58: 3342-3349. DOI: 10.1109/Ted.2011.2162336 |
0.445 |
|
2011 |
Griffoni A, Chen S, Thijs S, Kaczer B, Franco J, Linten D, Keersgieter AD, Groeseneken G. Off-State Degradation of High-Voltage-Tolerant nLDMOS-SCR ESD Devices Ieee Transactions On Electron Devices. 58: 2061-2071. DOI: 10.1109/Ted.2011.2132760 |
0.459 |
|
2011 |
Lin L, Ji Z, Zhang JF, Zhang WD, Kaczer B, Gendt SD, Groeseneken G. A Single Pulse Charge Pumping Technique for Fast Measurements of Interface States Ieee Transactions On Electron Devices. 58: 1490-1498. DOI: 10.1109/Ted.2011.2122263 |
0.372 |
|
2011 |
Toledano-Luque M, Degraeve R, Zahid MB, Kaczer B, Blomme P, Kittl JA, Jurczak M, Houdt JV, Groeseneken G. Fast $V_{\rm TH}$ Transients After the Program/Erase of Flash Memory Stacks With High- $k$ Dielectrics Ieee Transactions On Electron Devices. 58: 631-640. DOI: 10.1109/Ted.2010.2100821 |
0.339 |
|
2011 |
Amat E, Kauerauf T, Degraeve R, Rodríguez R, Nafría M, Aymerich X, Groeseneken G. Gate Voltage Influence on the Channel Hot-Carrier Degradation of High- $k$ -Based Devices Ieee Transactions On Device and Materials Reliability. 11: 92-97. DOI: 10.1109/Tdmr.2010.2093138 |
0.433 |
|
2011 |
Zhuge J, Verhulst AS, Vandenberghe WG, Dehaene W, Huang R, Wang Y, Groeseneken G. Digital-circuit analysis of short-gate tunnel FETs for low-voltage applications Semiconductor Science and Technology. 26. DOI: 10.1088/0268-1242/26/8/085001 |
0.426 |
|
2011 |
Verhulst AS, Leonelli D, Rooyackers R, Groeseneken G. Drain voltage dependent analytical model of tunnel field-effect transistors Journal of Applied Physics. 110. DOI: 10.1063/1.3609064 |
0.426 |
|
2011 |
Toledano-Luque M, Kaczer B, Roussel PJ, Franco J, Ragnarsson LA, Grasser T, Groeseneken G. Depth localization of positive charge trapped in silicon oxynitride field effect transistors after positive and negative gate bias temperature stress Applied Physics Letters. 98: 183506. DOI: 10.1063/1.3586780 |
0.467 |
|
2011 |
Vandenberghe WG, Sorée B, Magnus W, Groeseneken G, Fischetti MV. Impact of field-induced quantum confinement in tunneling field-effect devices Applied Physics Letters. 98: 143503. DOI: 10.1063/1.3573812 |
0.356 |
|
2011 |
Leonelli D, Vandooren A, Rooyackers R, Gendt SD, Heyns MM, Groeseneken G. Drive current enhancement in p-tunnel FETs by optimization of the process conditions Solid-State Electronics. 65: 28-32. DOI: 10.1016/J.Sse.2011.06.030 |
0.448 |
|
2011 |
Cho M, Aoulaiche M, Degraeve R, Kaczer B, Kauerauf T, Ragnarsson L, Adelmann C, Elshocht SV, Hoffmann TY, Groeseneken G. Advanced PBTI reliability with 0.69 nm EOT GdHfO gate dielectric Solid-State Electronics. 63: 5-7. DOI: 10.1016/J.Sse.2011.06.001 |
0.446 |
|
2011 |
Cho M, Akheyar A, Aoulaiche M, Degraeve R, Ragnarsson L, Tseng J, Hoffmann TY, Groeseneken G. Study of nitrogen impact on VFB-EOT roll-off by varying interfacial SiO2 thickness Solid-State Electronics. 62: 67-71. DOI: 10.1016/J.Sse.2011.04.007 |
0.427 |
|
2011 |
Feijoo PC, Cho M, Togo M, Andrés ES, Groeseneken G. Positive bias temperature instabilities on sub-nanometer EOT FinFETs Microelectronics Reliability. 51: 1521-1524. DOI: 10.1016/J.Microrel.2011.06.014 |
0.441 |
|
2011 |
Toledano-Luque M, Kaczer B, Simoen E, Roussel PJ, Veloso A, Grasser T, Groeseneken G. Temperature and voltage dependences of the capture and emission times of individual traps in high-k dielectrics Microelectronic Engineering. 88: 1243-1246. DOI: 10.1016/J.Mee.2011.03.097 |
0.436 |
|
2011 |
Franco J, Kaczer B, Toledano-Luque M, Roussel PJ, Hehenberger P, Grasser T, Mitard J, Eneman G, Witters L, Hoffmann TY, Groeseneken G. On the impact of the Si passivation layer thickness on the NBTI of nanoscaled Si0.45Ge0.55 pMOSFETs Microelectronic Engineering. 88: 1388-1391. DOI: 10.1016/J.Mee.2011.03.065 |
0.354 |
|
2011 |
Chiodarelli N, Li Y, Cott DJ, Mertens S, Peys N, Heyns M, Gendt SD, Groeseneken G, Vereecken PM. Integration and electrical characterization of carbon nanotube via interconnects Microelectronic Engineering. 88: 837-843. DOI: 10.1016/J.Mee.2010.06.017 |
0.321 |
|
2011 |
Sels D, Sorée B, Groeseneken G. Quantum ballistic transport in the junctionless nanowire pinch-off field effect transistor Journal of Computational Electronics. 10: 216-221. DOI: 10.1007/S10825-011-0350-2 |
0.325 |
|
2010 |
Leonelli D, Vandooren A, Rooyackers R, Verhulst AS, Gendt SD, Heyns MM, Groeseneken G. Drive current improvement in Si tunnel field effect transistors by means of silicide engineering The Japan Society of Applied Physics. 693-694. DOI: 10.7567/Ssdm.2010.C-3-3 |
0.336 |
|
2010 |
Sahhaf S, Degraeve R, Zahid M, Groeseneken G. Profiling Different Kinds of Generated Defects at Elevated Temperature in Both SiO 2 and High-k Dielectrics Mrs Proceedings. 1252. DOI: 10.1557/Proc-1252-I05-08 |
0.379 |
|
2010 |
Verhulst AS, Vandenberghe WG, Leonelli D, Rooyackers R, Vandooren A, Pourtois G, De Gendt S, Heyns MM, Groeseneken G. Boosting the on-current of Si-based tunnel field-effect transistors Ecs Transactions. 33: 363-372. DOI: 10.1149/1.3487567 |
0.358 |
|
2010 |
Leonelli D, Vandooren A, Rooyackers R, Verhulst AS, De Gendt S, Heyns MM, Groeseneken G. Performance enhancement in multi gate tunneling field effect transistors by scaling the fin-width Japanese Journal of Applied Physics. 49. DOI: 10.1143/Jjap.49.04Dc10 |
0.484 |
|
2010 |
Heyns M, Bellenger F, Brammertz G, Caymax M, Cantoro M, De Gendt S, De Jaeger B, Delabie A, Eneman G, Groeseneken G, Hellings G, Houssa M, Iacopi F, Leonelli D, Lin D, et al. Shaping the future of nanoelectronics beyond the Si roadmap with new materials and devices Proceedings of Spie - the International Society For Optical Engineering. 7640. DOI: 10.1117/12.852587 |
0.385 |
|
2010 |
Ji Z, Lin L, Zhang JF, Kaczer B, Groeseneken G. NBTI Lifetime Prediction and Kinetics at Operation Bias Based on Ultrafast Pulse Measurement Ieee Transactions On Electron Devices. 57: 228-237. DOI: 10.1109/Ted.2009.2037171 |
0.332 |
|
2010 |
Thijs S, Russ C, Tremouilles D, Griffoni A, Linten D, Scholz M, Collaert N, Rooyackers R, Jurczak M, Groeseneken G. Methodology for Design Optimization of SOI FinFET Grounded-Gate NMOS Devices Ieee Transactions On Device and Materials Reliability. 10: 338-346. DOI: 10.1109/Tdmr.2010.2049651 |
0.368 |
|
2010 |
Griffoni A, Thijs S, Russ C, Tremouilles D, Linten D, Scholz M, Simoen E, Claeys C, Meneghesso G, Groeseneken G. Electrical-Based ESD Characterization of Ultrathin-Body SOI MOSFETs Ieee Transactions On Device and Materials Reliability. 10: 130-141. DOI: 10.1109/Tdmr.2009.2036156 |
0.377 |
|
2010 |
Aoulaiche M, Collaert N, Degraeve R, Lu Z, Wachter BD, Groeseneken G, Jurczak M, Altimime L. BJT-Mode Endurance on a 1T-RAM Bulk FinFET Device Ieee Electron Device Letters. 31: 1380-1382. DOI: 10.1109/Led.2010.2079313 |
0.364 |
|
2010 |
Cho M, Aoulaiche M, Degraeve R, Ortolland C, Kauerauf T, Kaczer B, Roussel P, Hoffmann TY, Groeseneken G. Interface/Bulk Trap Recovery After Submelt Laser Anneal and the Impact to NBTI Reliability Ieee Electron Device Letters. 31: 606-608. DOI: 10.1109/Led.2010.2046009 |
0.355 |
|
2010 |
Kaczer B, Roussel PJ, Grasser T, Groeseneken G. Statistics of Multiple Trapped Charges in the Gate Oxide of Deeply Scaled MOSFET Devices—Application to NBTI Ieee Electron Device Letters. 31: 411-413. DOI: 10.1109/Led.2010.2044014 |
0.443 |
|
2010 |
Sahhaf S, Degraeve R, Srividya V, Kaczer B, Gealy D, Horiguchi N, Togo M, Hoffmann TY, Groeseneken G. Correlation Between the $V_{\rm th}$ Adjustment of nMOSFETs With HfSiO Gate Oxide and the Energy Profile of the Bulk Trap Density Ieee Electron Device Letters. 31: 272-274. DOI: 10.1109/Led.2010.2040063 |
0.339 |
|
2010 |
Sangameswaran S, Coster JD, Linten D, Scholz M, Thijs S, Groeseneken G, Wolf ID. Investigating ESD sensitivity in electrostatic SiGe MEMS Journal of Micromechanics and Microengineering. 20: 55005. DOI: 10.1088/0960-1317/20/5/055005 |
0.334 |
|
2010 |
Vandenberghe W, Sorée B, Magnus W, Groeseneken G. Zener tunneling in semiconductors under nonuniform electric fields Journal of Applied Physics. 107: 54520. DOI: 10.1063/1.3311550 |
0.373 |
|
2010 |
Verhulst AS, Sorée B, Leonelli D, Vandenberghe WG, Groeseneken G. Modeling the single-gate, double-gate, and gate-all-around tunnel field-effect transistor Journal of Applied Physics. 107. DOI: 10.1063/1.3277044 |
0.444 |
|
2010 |
Cho M, Degraeve R, Roussel P, Govoreanu B, Kaczer B, Zahid MB, Simoen E, Arreghini A, Jurczak M, Houdt JV, Groeseneken G. A consistent model for oxide trap profiling with the Trap Spectroscopy by Charge Injection and Sensing (TSCIS) technique Solid-State Electronics. 54: 1384-1391. DOI: 10.1016/J.Sse.2010.04.046 |
0.428 |
|
2010 |
Sahhaf S, Degraeve R, Cho M, Brabanter KD, Roussel PJ, Zahid MB, Groeseneken G. Detailed analysis of charge pumping and IdVg hysteresis for profiling traps in SiO2/HfSiO(N) Microelectronic Engineering. 87: 2614-2619. DOI: 10.1016/J.Mee.2010.07.029 |
0.359 |
|
2010 |
Amat E, Kauerauf T, Degraeve R, Rodríguez R, Nafría M, Aymerich X, Groeseneken G. Channel hot-carrier degradation in pMOS and nMOS short channel transistors with high-k dielectric stack Microelectronic Engineering. 87: 47-50. DOI: 10.1016/J.Mee.2009.05.013 |
0.386 |
|
2009 |
Degraeve R, Zahid M, bosch GVd, Blomme P, Breuil L, Kaczer B, Mercuri M, Rothschild A, Cacciato A, Jurczak M, Groeseneken G, Houdt JV. Explanation of anomalous erase behaviour and the associated device instability in TANOS Flash using a new trap characterization technique The Japan Society of Applied Physics. DOI: 10.7567/Ssdm.2009.P-4-3 |
0.33 |
|
2009 |
Degraeve R, Cho M, Govoreanu B, Kaczer B, Zahid MB, bosch GVd, Houdt JV, Jurczak M, Groeseneken G. Electrical Defects in Dielectrics for Flash Memories Studied by Trap Spectroscopy by Charge Injection and Sensing (TSCIS) The Japan Society of Applied Physics. DOI: 10.7567/Ssdm.2009.G-2-1 |
0.315 |
|
2009 |
Leonelli D, Vandooren A, Rooyackers R, Verhulst AS, Gendt SD, Heyns MM, Groeseneken G. Multiple-Gate Tunneling Field Effect Transistors with sub-60mV/dec Subthreshold Slope The Japan Society of Applied Physics. 767-768. DOI: 10.7567/Ssdm.2009.A-4-1 |
0.361 |
|
2009 |
Heyns M, Bellenger F, Brammertz G, Caymax M, Gendt SD, Jaeger BD, Delabie A, Eneman G, Groeseneken G, Houssa M, Leonelli D, Lin D, Martens K, Merckling C, Meuris M, et al. High Mobility Channel Materials and Novel Devices for Scaling of Nanoelectronics beyond the Si Roadmap Mrs Proceedings. 1194. DOI: 10.1557/Proc-1194-A07-01 |
0.364 |
|
2009 |
Aoulaiche M, Kaczer B, Roussel PJ, O’Connor R, Houssa M, Gendt SD, Maes HE, Groeseneken G. Impact of nitridation on recoverable and permanent negative bias temperature instability degradation in high-k/metal-gate p-type metal oxide semiconductor field effect transistors Journal of Vacuum Science & Technology B. 27: 463-467. DOI: 10.1116/1.3058740 |
0.398 |
|
2009 |
Kaczer B, Veloso A, Roussel P, Grasser T, Groeseneken G. Investigation of Bias-Temperature Instability in work-function-tuned high-k/metal-gate stacks Journal of Vacuum Science & Technology B. 27: 459-462. DOI: 10.1116/1.3054352 |
0.359 |
|
2009 |
Scholz M, Linten D, Thijs S, Sangameswaran S, Sawada M, Nakaei T, Hasebe T, Groeseneken G. ESD On-Wafer Characterization: Is TLP Still the Right Measurement Tool? Ieee Transactions On Instrumentation and Measurement. 58: 3418-3426. DOI: 10.1109/Tim.2009.2017657 |
0.344 |
|
2009 |
Trojman L, Pantisano L, Dehan M, Ferain I, Severi S, Maes HE, Groeseneken G. Velocity and Mobility Investigation in 1-nm-EOT HfSiON on Si (110) and (100)—Does the Dielectric Quality Matter? Ieee Transactions On Electron Devices. 56: 3009-3017. DOI: 10.1109/Ted.2009.2032280 |
0.375 |
|
2009 |
Sahhaf S, Degraeve R, Roussel PJ, Kaczer B, Kauerauf T, Groeseneken G. A New TDDB Reliability Prediction Methodology Accounting for Multiple SBD and Wear Out Ieee Transactions On Electron Devices. 56: 1424-1432. DOI: 10.1109/Ted.2009.2021810 |
0.369 |
|
2009 |
Ji Z, Zhang JF, Chang MH, Kaczer B, Groeseneken G. An Analysis of the NBTI-Induced Threshold Voltage Shift Evaluated by Different Techniques Ieee Transactions On Electron Devices. 56: 1086-1093. DOI: 10.1109/Ted.2009.2016400 |
0.366 |
|
2009 |
Amat E, Kauerauf T, Degraeve R, Rodriguez R, Nafria M, Aymerich X, Groeseneken G. Competing Degradation Mechanisms in Short-Channel Transistors Under Channel Hot-Carrier Stress at Elevated Temperatures Ieee Transactions On Device and Materials Reliability. 9: 454-458. DOI: 10.1109/Tdmr.2009.2025178 |
0.379 |
|
2009 |
Amat E, Kauerauf T, Degraeve R, Keersgieter AD, Rodriguez R, Nafria M, Aymerich X, Groeseneken G. Channel Hot-Carrier Degradation in Short-Channel Transistors With High- $k$ /Metal Gate Stacks Ieee Transactions On Device and Materials Reliability. 9: 425-430. DOI: 10.1109/Tdmr.2009.2024129 |
0.371 |
|
2009 |
Magnone P, Mercha A, Subramanian V, Parvais P, Collaert N, Dehan M, Decoutere S, Groeseneken G, Benson J, Merelle T, Lander RJP, Crupi F, Pace C. Matching performance of FinFET devices with fin widths down to 10 nm Ieee Electron Device Letters. 30: 1374-1376. DOI: 10.1109/Led.2009.2034117 |
0.427 |
|
2009 |
Ji Z, Zhang JF, Zhang W, Groeseneken G, Pantisano L, Gendt SD, Heyns MM. An assessment of the mobility degradation induced by remote charge scattering Applied Physics Letters. 95: 263502. DOI: 10.1063/1.3279146 |
0.356 |
|
2009 |
O'Sullivan BJ, Aoulaiche M, Cho MJ, Kauerauf T, Degraeve R, Okawa H, Schram T, Hoffmann TY, Groeseneken G, Biesemans S, Nakabayashi T, Ikeda A, Niwa M. Quantification of metal oxide semiconductor field effect transistor device reliability with low- Vt lanthanum-incorporated high permittivity dielectrics Journal of Applied Physics. 106. DOI: 10.1063/1.3262620 |
0.468 |
|
2009 |
Chang MH, Zhao CZ, Ji Z, Zhang JF, Groeseneken G, Pantisano L, Gendt SD, Heyns MM. On the activation and passivation of precursors for process-induced positive charges in Hf-dielectric stacks Journal of Applied Physics. 105: 54505. DOI: 10.1063/1.3093679 |
0.378 |
|
2009 |
Fernandez-Garcia R, Kaczer B, Groeseneken G. A CMOS circuit for evaluating the NBTI over a wide frequency range Microelectronics Reliability. 49: 885-891. DOI: 10.1016/J.Microrel.2009.05.009 |
0.311 |
|
2009 |
Kaczer B, Veloso A, Aoulaiche M, Groeseneken G. Significant reduction of Positive Bias Temperature Instability in high-k/metal-gate nFETs by incorporation of rare earth metals Microelectronic Engineering. 86: 1894-1896. DOI: 10.1016/J.Mee.2009.03.082 |
0.444 |
|
2009 |
Kaczer B, Franco J, Mitard J, Roussel PJ, Veloso A, Groeseneken G. Improvement in NBTI reliability of Si-passivated Ge/high-k/metal-gate pFETs Microelectronic Engineering. 86: 1582-1584. DOI: 10.1016/J.Mee.2009.03.061 |
0.419 |
|
2008 |
O'Sullivan B, Mitsuhashi R, Okawa H, Sengoku N, Schram T, Groeseneken G, Biesemans S, Nakabayashi T, Ikeda A, Niwa M. Defect Profiling and the Role of Nitrogen in Lanthanum Oxide-capped High-κ Dielectrics for nMOS Applications The Japan Society of Applied Physics. 680-681. DOI: 10.7567/Ssdm.2008.A-5-1 |
0.311 |
|
2008 |
Zhao CZ, Zhang JF, Chang MH, Peaker AR, Hall S, Groeseneken G, Pantisano L, Gendt SD, Heyns M. Stress-Induced Positive Charge in Hf-Based Gate Dielectrics: Impact on Device Performance and a Framework for the Defect Ieee Transactions On Electron Devices. 55: 1647-1656. DOI: 10.1109/Ted.2008.925151 |
0.411 |
|
2008 |
Shickova A, Verheyen P, Eneman G, Degraeve R, Simoen E, Favia P, Klenov DO, San Andres E, Kaczer B, Jurczak M, Absil P, Maes HE, Groeseneken G. Reliability of strained-Si devices with post-oxide-deposition strain introduction Ieee Transactions On Electron Devices. 55: 3432-3441. DOI: 10.1109/Ted.2008.2006919 |
0.366 |
|
2008 |
Trojman L, Pantisano L, Ferain I, Severi S, Maes HE, Groeseneken G. Mobility and Dielectric Quality of 1-nm EOT HfSiON on Si(110) and (100) Ieee Transactions On Electron Devices. 55: 3414-3420. DOI: 10.1109/Ted.2008.2006548 |
0.428 |
|
2008 |
Thijs S, Tremouilles D, Russ C, Griffoni A, Collaert N, Rooyackers R, Linten D, Scholz M, Duvvury C, Gossner H, Jurczak M, Groeseneken G. Characterization and Optimization of Sub-32-nm FinFET Devices for ESD Applications Ieee Transactions On Electron Devices. 55: 3507-3516. DOI: 10.1109/Ted.2008.2006547 |
0.365 |
|
2008 |
Toledano-Luque M, Degraeve R, Zahid MB, Pantisano L, Andres ES, Groeseneken G, Gendt SD. New Developments in Charge Pumping Measurements on Thin Stacked Dielectrics Ieee Transactions On Electron Devices. 55: 3184-3191. DOI: 10.1109/Ted.2008.2005129 |
0.372 |
|
2008 |
Martens K, Chui CO, Brammertz G, De Jaeger B, Kuzum D, Meuris M, Heyns MM, Krishnamohan T, Saraswat K, Maes HE, Groeseneken G. On the correct extraction of interface trap density of MOS devices with high-mobility semiconductor substrates Ieee Transactions On Electron Devices. 55: 547-556. DOI: 10.1109/Ted.2007.912365 |
0.416 |
|
2008 |
Verhulst AS, Vandenberghe WG, De Gendt S, Maex K, Groeseneken G. Boosting the on-current of silicon nanowire tunnel-FETs Ieee 2008 Silicon Nanoelectronics Workshop, Snw 2008. DOI: 10.1109/SNW.2008.5418419 |
0.33 |
|
2008 |
Verhulst AS, Vandenberghe WG, Maex K, De Gendt S, Heyns MM, Groeseneken G. Complementary silicon-based heterostructure tunnel-FETs with high tunnel rates Ieee Electron Device Letters. 29: 1398-1401. DOI: 10.1109/Led.2008.2007599 |
0.411 |
|
2008 |
Martens K, Kaczer B, Grasser T, Jaeger BD, Meuris M, Maes HE, Groeseneken G. Applicability of Charge Pumping on Germanium MOSFETs Ieee Electron Device Letters. 29: 1364-1366. DOI: 10.1109/Led.2008.2007582 |
0.368 |
|
2008 |
Zhang JF, Chang MH, Ji Z, Lin L, Ferain I, Groeseneken G, Pantisano L, Gendt SD, Heyns MM. Dominant Layer for Stress-Induced Positive Charges in Hf-Based Gate Stacks Ieee Electron Device Letters. 29: 1360-1363. DOI: 10.1109/Led.2008.2006288 |
0.334 |
|
2008 |
Verhulst AS, Vandenberghe WG, Maex K, Groeseneken G. Boosting the on-current of a n -channel nanowire tunnel field-effect transistor by source material optimization Journal of Applied Physics. 104. DOI: 10.1063/1.2981088 |
0.41 |
|
2008 |
O'Sullivan BJ, Mitsuhashi R, Pourtois G, Aoulaiche M, Houssa M, Van Der Heyden N, Schram T, Harada Y, Groeseneken G, Absil P, Biesemans S, Nakabayashi T, Ikeda A, Niwa M. Reliability study of La2 O3 capped HfSiON high-permittivity n -type metal-oxide-semiconductor field-effect transistor devices with tantalum-rich electrodes Journal of Applied Physics. 104. DOI: 10.1063/1.2967819 |
0.379 |
|
2008 |
O’Connor R, Chang VS, Pantisano L, Ragnarsson L, Aoulaiche M, O’Sullivan B, Groeseneken G. Anomalous positive-bias temperature instability of high-κ/metal gate devices with Dy2O3 capping Applied Physics Letters. 93: 53506. DOI: 10.1063/1.2967454 |
0.451 |
|
2008 |
O’Connor R, Pantisano L, Degraeve R, Kauerauf T, Kaczer B, Roussel P, Groeseneken G. Electron energy dependence of defect generation in high-k gate stacks Journal of Applied Physics. 103: 64503. DOI: 10.1063/1.2844485 |
0.364 |
|
2008 |
Zhang JF, Zhao CZ, Chang MH, Zahid MB, Peaker AR, Hall S, Groeseneken G, Pantisano L, Gendt SD, Heyns M. Impact of different defects on the kinetics of negative bias temperature instability of hafnium stacks Applied Physics Letters. 92: 13501. DOI: 10.1063/1.2828697 |
0.341 |
|
2008 |
Zhao CZ, Zhang JF, Chang MH, Peaker AR, Hall S, Groeseneken G, Pantisano L, Gendt SD, Heyns M. Process-induced positive charges in Hf-based gate stacks Journal of Applied Physics. 103: 14507. DOI: 10.1063/1.2826937 |
0.39 |
|
2008 |
Groeseneken G, Wolf ID, Mouthaan A, Bisschop J. SPECIAL ISSUE 19th European Symposium on RELIABILITY OF ELECTRON DEVICES, FAILURE PHYSICS AND ANALYSIS (ESREF 2008) Microelectronics Reliability. 48: 1111-1111. DOI: 10.1016/J.Microrel.2008.07.058 |
0.313 |
|
2008 |
Magnone P, Subramanian V, Parvais B, Mercha A, Pace C, Dehan M, Decoutere S, Groeseneken G, Crupi F, Pierro S. Gate voltage and geometry dependence of the series resistance and of the carrier mobility in FinFET devices Microelectronic Engineering. 85: 1728-1731. DOI: 10.1016/J.Mee.2008.04.022 |
0.405 |
|
2007 |
O’Sullivan BJ, Mitsuhashi R, Pourtois G, Chang VS, Adelmann C, Schram T, Ragnarsson L-, Heyden NVd, Cho H-, Harada Y, Veloso A, O’Connor R, Pantisano L, Yu HY, Groeseneken G, et al. Oxygen-Vacancy-Induced Vt shift in La-containing Devices The Japan Society of Applied Physics. 2007: 372-373. DOI: 10.7567/Ssdm.2007.P-1-25L |
0.31 |
|
2007 |
Li Y, Groeseneken G, Maex K, Tokei Z. Real-Time Investigation of Conduction Mechanism With Bias Stress in Silica-Based Intermetal Dielectrics Ieee Transactions On Device and Materials Reliability. 7: 252-258. DOI: 10.1109/Tdmr.2007.901087 |
0.35 |
|
2007 |
Aoulaiche M, Houssa M, Conard T, Gendt SD, Groeseneken G, Maes HE, Heyns MM. Postdeposition-Anneal Effect on Negative Bias Temperature Instability in HfSiON Gate Stacks Ieee Transactions On Device and Materials Reliability. 7: 146-151. DOI: 10.1109/Tdmr.2007.897516 |
0.379 |
|
2007 |
Aoulaiche M, Houssa M, Deweerd W, Trojman L, Conard T, Maes JW, Gendt SD, Groeseneken G, Maes HE, Heyns MM. Nitrogen Incorporation in HfSiO(N)/TaN Gate Stacks: Impact on Performances and NBTI Ieee Electron Device Letters. 28: 613-615. DOI: 10.1109/Led.2007.899435 |
0.433 |
|
2007 |
Martens K, Rosmeulen M, Kaczer B, Groeseneken G, Maes HE. Electrical Characterization of Leaky Charge-Trapping High- $\kappa$ MOS Devices Using Pulsed $Q$ – $V$ Ieee Electron Device Letters. 28: 436-439. DOI: 10.1109/Led.2007.895431 |
0.414 |
|
2007 |
Zhang JF, Chang MH, Groeseneken G. Effects of Measurement Temperature on NBTI Ieee Electron Device Letters. 28: 298-300. DOI: 10.1109/Led.2007.893219 |
0.329 |
|
2007 |
Shickova A, Kaczer B, Verheyen P, Eneman G, Andres ES, Jurczak M, Absil P, Maes H, Groeseneken G. Negligible Effect of Process-Induced Strain on Intrinsic NBTI Behavior Ieee Electron Device Letters. 28: 242-244. DOI: 10.1109/Led.2007.891277 |
0.376 |
|
2007 |
Verhulst AS, Vandenberghe WG, Maex K, Groeseneken G. Tunnel field-effect transistor without gate-drain overlap Applied Physics Letters. 91. DOI: 10.1063/1.2757593 |
0.453 |
|
2007 |
Pourtois G, Houssa M, Jaeger BD, Kaczer B, Leys F, Meuris M, Caymax M, Groeseneken G, Heyns M. Threshold voltage shifts in Si passivated (100)Ge p-channel field effect transistors: Insights from first-principles modeling Applied Physics Letters. 91: 23506. DOI: 10.1063/1.2756367 |
0.439 |
|
2007 |
Zhao CZ, Zahid MB, Zhang JF, Groeseneken G, Degraeve R, Gendt SD. Threshold voltage instability of p-channel metal-oxide-semiconductor field effect transistors with hafnium based dielectrics Applied Physics Letters. 90: 143502. DOI: 10.1063/1.2719022 |
0.445 |
|
2007 |
Houssa M, Afanas'ev V, Stesmans A, Aoulaiche M, Groeseneken G, Heyns M. Insights on the physical mechanism behind negative bias temperature instabilities Applied Physics Letters. 90: 43505. DOI: 10.1063/1.2434176 |
0.381 |
|
2007 |
Martens K, Wang WF, Dimoulas A, Borghs G, Meuris M, Groeseneken G, Maes HE. Determining weak Fermi-level pinning in MOS devices by conductance and capacitance analysis and application to GaAs MOS devices Solid-State Electronics. 51: 1101-1108. DOI: 10.1016/J.Sse.2007.06.002 |
0.445 |
|
2007 |
Subramanian V, Mercha A, Parvais B, Loo J, Gustin C, Dehan M, Collaert N, Jurczak M, Groeseneken G, Sansen W, Decoutere S. Impact of fin width on digital and analog performances of n-FinFETs Solid-State Electronics. 51: 551-559. DOI: 10.1016/J.Sse.2007.02.003 |
0.375 |
|
2007 |
Kaczer B, Degraeve R, Roussel P, Groeseneken G. Gate oxide breakdown in FET devices and circuits: From nanoscale physics to system-level reliability Microelectronics Reliability. 47: 559-566. DOI: 10.1016/J.Microrel.2007.01.063 |
0.397 |
|
2007 |
Shickova A, Kaczer B, Veloso A, Aoulaiche M, Houssa M, Maes H, Groeseneken G, Kittl J. NBTI reliability of Ni FUSI/HfSiON gates: Effect of silicide phase. Microelectronics Reliability. 47: 505-507. DOI: 10.1016/J.Microrel.2007.01.046 |
0.392 |
|
2007 |
Kerber A, Pantisano L, Veloso A, Groeseneken G, Kerber M. Reliability screening of high-k dielectrics based on voltage ramp stress Microelectronics Reliability. 47: 513-517. DOI: 10.1016/J.Microrel.2007.01.030 |
0.345 |
|
2007 |
Houssa M, Aoulaiche M, Gendt SD, Groeseneken G, Heyns MM. Negative bias temperature instabilities in HfSiO(N)-based MOSFETs: electrical characterization and modeling Microelectronics Reliability. 47: 880-889. DOI: 10.1016/J.Microrel.2006.10.010 |
0.382 |
|
2007 |
Shickova A, Kaczer B, Simoen E, Verheyen P, Eneman G, Jurczak M, Absil P, Maes H, Groeseneken G. Dielectric quality and reliability of FUSI/HfSiON devices with process induced strain Microelectronic Engineering. 84: 1906-1909. DOI: 10.1016/J.Mee.2007.04.110 |
0.379 |
|
2007 |
Kaczer B, Jaeger BD, Nicholas G, Martens K, Degraeve R, Houssa M, Pourtois G, Leys F, Meuris M, Groeseneken G. Electrical and reliability characterization of metal-gate/HfO2/Ge FET's with Si passivation Microelectronic Engineering. 84: 2067-2070. DOI: 10.1016/J.Mee.2007.04.100 |
0.457 |
|
2007 |
Zhao CZ, Zhang JF, Zahid MB, Efthymiou E, Lu Y, Hall S, Peaker AR, Groeseneken G, Pantisano L, Degraeve R, Gendt SD, Heyns M. Hydrogen induced positive charge in Hf-based dielectrics Microelectronic Engineering. 84: 2354-2357. DOI: 10.1016/J.Mee.2007.04.096 |
0.317 |
|
2007 |
Trojman L, Pantisano L, Severi S, Andres ES, Hoffman T, Ferain I, Gendt SD, Heyns M, Maes H, Groeseneken G. Performance assessment of (110) p-FET high-κ/MG: is it mobility or series resistance limited? Microelectronic Engineering. 84: 2058-2062. DOI: 10.1016/J.Mee.2007.04.090 |
0.317 |
|
2007 |
Toledano-Luque M, Pantisano L, Degraeve R, Zahid MB, Ferain I, Andrés ES, Groeseneken G, Gendt SD. Charge pumping spectroscopy: HfSiON defect study after substrate hot electron injection Microelectronic Engineering. 84: 1943-1946. DOI: 10.1016/J.Mee.2007.04.070 |
0.395 |
|
2007 |
Roussel PJ, Degraeve R, Sahhaf S, Groeseneken G. A consistent model for the hard breakdown distribution including digital soft breakdown: the noble art of area scaling Microelectronic Engineering. 84: 1925-1928. DOI: 10.1016/J.Mee.2007.04.067 |
0.311 |
|
2007 |
Zahid MB, Degraeve R, Zhang JF, Groeseneken G. Impact of process conditions on interface and high-κ trap density studied by variable Tcharge-Tdischarge charge pumping (VT2CP) Microelectronic Engineering. 84: 1951-1955. DOI: 10.1016/J.Mee.2007.04.048 |
0.319 |
|
2007 |
Andrés ES, Pantisano L, Severi S, Trojman L, Ferain I, Toledano-Luque M, Jurczak M, Groeseneken G, Gendt SD, Heyns M. Mobility extraction using RFCV for 80nm MOSFET with 1nm EOT HfSiON/TiN Microelectronic Engineering. 84: 1878-1881. DOI: 10.1016/J.Mee.2007.04.009 |
0.387 |
|
2006 |
Groeseneken G, Degraeve R, Kauerauf T, Cho M, Zahid M, Ragnarsson L, Brunco DP, Kaczer B, Roussel P, Gendt SD. Degradation and Breakdown of Sub-1nm EOT HfO2/Metal Gate Stacks The Japan Society of Applied Physics. 2006: 1118-1119. DOI: 10.7567/Ssdm.2006.J-9-1 |
0.321 |
|
2006 |
Houssa M, Aoulaiche M, Gendt SD, Groeseneken G, Heyns MM, Stesmans A. H2/D2 isotopic effect on negative bias temperature instabilities in SiOx/HfSiON/TaN gate stacks Electrochemical and Solid State Letters. 9. DOI: 10.1149/1.2135429 |
0.42 |
|
2006 |
Govoreanu B, Degraeve R, Kauerauf T, Magnus W, Wellekens D, Groeseneken G, Houdt JV. Performance of Direct Tunneling Floating Gate Memory with Medium-κ Dielectrics for Embedded-Random-Access Memory Applications Japanese Journal of Applied Physics. 45: 3170-3175. DOI: 10.1143/Jjap.45.3170 |
0.357 |
|
2006 |
Crupi F, Kaczer B, Degraeve R, Subramanian V, Srinivasan P, Simoen E, Dixit A, Jurczak M, Groeseneken G. Reliability Comparison of Triple-Gate Versus Planar SOI FETs Ieee Transactions On Electron Devices. 53: 2351-2357. DOI: 10.1109/Ted.2006.880824 |
0.474 |
|
2006 |
Vassilev V, Lorenzini M, Groeseneken G. MOSFET ESD Breakdown Modeling and Parameter Extraction in Advanced CMOS Technologies Ieee Transactions On Electron Devices. 53: 2108-2117. DOI: 10.1109/Ted.2006.880367 |
0.324 |
|
2006 |
Ragnarsson LA, Severi S, Trojman L, Johnson KD, Brunco DP, Aoulaiche M, Houssa M, Kauerauf T, Degraeve R, Delabie A, Kaushik VS, De Gendt S, Tsai W, Groeseneken G, De Meyer K, et al. Electrical characteristics of 8-̊A EOT HfO2/TaN low thermal-budget n-channel FETs with solid-phase epitaxially regrown junctions Ieee Transactions On Electron Devices. 53: 1657-1668. DOI: 10.1109/Ted.2006.876274 |
0.455 |
|
2006 |
Giusi G, Crupi F, Pace C, Ciofi C, Groeseneken G. Comparative study of drain and gate low-frequency noise in nMOSFETs with hafnium-based gate dielectrics Ieee Transactions On Electron Devices. 53: 823-828. DOI: 10.1109/Ted.2006.870287 |
0.371 |
|
2006 |
Zhang JF, Zhao CZ, Zahid MB, Groeseneken G, Degraeve R, Gendt SD. An Assessment of the Location of As-Grown Electron Traps in $hboxHfO_2$ /HfSiO Stacks Ieee Electron Device Letters. 27: 817-820. DOI: 10.1109/Led.2006.882566 |
0.376 |
|
2006 |
Andres ES, Pantisano L, Ramos J, Severi S, Trojman L, Gendt SD, Groeseneken G. RF Split Capacitance–Voltage Measurements of Short-Channel and Leaky MOSFET Devices Ieee Electron Device Letters. 27: 772-774. DOI: 10.1109/Led.2006.881089 |
0.392 |
|
2006 |
Martens K, Jaeger BD, Bonzom R, Steenbergen JV, Meuris M, Groeseneken G, Maes H. New interface state density extraction method applicable to peaked and high-density distributions for Ge MOSFET development Ieee Electron Device Letters. 27: 405-408. DOI: 10.1109/Led.2006.873767 |
0.315 |
|
2006 |
Zhang WD, Zhang JF, Zhao CZ, Chang MH, Groeseneken G, Degraeve R. Electrical signature of the defect associated with gate oxide breakdown Ieee Electron Device Letters. 27: 393-395. DOI: 10.1109/Led.2006.873384 |
0.339 |
|
2006 |
Zhao CZ, Zhang JF, Zahid MB, Govoreanu B, Groeseneken G, Gendt SD. Determination of capture cross sections for as-grown electron traps in HfO2∕HfSiO stacks Journal of Applied Physics. 100: 93716. DOI: 10.1063/1.2364043 |
0.384 |
|
2006 |
Shamuilia S, Afanas'ev VV, Somers P, Stesmans A, Li YL, Tökei Z, Groeseneken G, Maex K. Internal photoemission of electrons at interfaces of metals with low-κ insulators Applied Physics Letters. 89. DOI: 10.1063/1.2360893 |
0.328 |
|
2006 |
Pantisano L, Schram T, O'Sullivan B, Conard T, De Gendt S, Groeseneken G, Zimmerman P, Akheyar A, Heyns MM, Shamuilla S, Afanas'ev VV, Stesmans A. Effective work function modulation by controlled dielectric monolayer deposition Applied Physics Letters. 89. DOI: 10.1063/1.2349310 |
0.371 |
|
2006 |
Zhao CZ, Zhang JF, Zahid MB, Groeseneken G, Degraeve R, Gendt SD. Impact of gate materials on positive charge formation in HfO2∕SiO2 stacks Applied Physics Letters. 89: 23507. DOI: 10.1063/1.2220484 |
0.416 |
|
2006 |
Ranjan R, Pey KL, Tung CH, Ang DS, Tang LJ, Kauerauf T, Degraeve R, Groeseneken G, Gendt SD, Bera LK. Ultrafast progressive breakdown associated with metal-like filament formation of a breakdown path in a HfO2∕TaN∕TiN transistor Applied Physics Letters. 88: 122907. DOI: 10.1063/1.2186969 |
0.344 |
|
2006 |
Goux L, Xu Z, Paraschiv V, Lisoni J, Maes D, Haspeslagh L, Groeseneken G, Wouters D. Influence of different deposition conditions of top and bottom electrode on the reliability of Sr0.8Bi2.2Ta2O9 ferroelectric capacitors Solid-State Electronics. 50: 1227-1234. DOI: 10.1016/J.Sse.2006.06.010 |
0.301 |
|
2006 |
Pantisano L, Ragnarsson LA, Houssa M, Degraeve R, Groeseneken G, Schram T, Degendt S, Heyns M, Afanas'ev V, Stesmans A. Impact of defects on the high-κ/MG stack: The electrical characterization challenge Materials Science in Semiconductor Processing. 9: 880-884. DOI: 10.1016/J.Mssp.2006.10.048 |
0.423 |
|
2006 |
Martens K, Simoen E, Jaeger BD, Meuris M, Groeseneken G, Maes H. Interface characterization of Si-passivated HfO2 germanium capacitors using DLTS measurements Materials Science in Semiconductor Processing. 9: 749-752. DOI: 10.1016/J.Mssp.2006.08.065 |
0.358 |
|
2006 |
Houssa M, Pantisano L, Ragnarsson LA, Degraeve R, Schram T, Pourtois G, De Gendt S, Groeseneken G, Heyns MM. Electrical properties of high-κ gate dielectrics: Challenges, current issues, and possible solutions Materials Science and Engineering R: Reports. 51: 37-85. DOI: 10.1016/J.Mser.2006.04.001 |
0.483 |
|
2006 |
Fernández R, Rodríguez R, Nafría M, Aymerich X, Kaczer B, Groeseneken G. FinFET and MOSFET preliminary comparison of gate oxide reliability Microelectronics Reliability. 46: 1608-1611. DOI: 10.1016/J.Microrel.2006.07.043 |
0.346 |
|
2006 |
Xu Z, Goux L, Kaczer B, Meeren HV, Wouters DJ, Groeseneken G. Relevance of the pulsed capacitance-voltage measurement technique for the optimization of SrBi2Ta2O9/high-k stack combination to be used in FeFET devices Microelectronic Engineering. 83: 2564-2569. DOI: 10.1016/J.Mee.2006.07.001 |
0.415 |
|
2005 |
Crupi F, Kauerauf T, Degraeve R, Pantisano L, Groeseneken G. A novel methodology for sensing the breakdown location and its application to the reliability study of ultrathin Hf-silicate gate dielectrics Ieee Transactions On Electron Devices. 52: 1759-1765. DOI: 10.1109/Ted.2005.852544 |
0.415 |
|
2005 |
Kauerauf T, Degraeve R, Zahid MB, Cho M, Kaczer B, Roussel P, Groeseneken G, Maes H, Gendt SD. Abrupt breakdown in dielectric/metal gate stacks: a potential reliability limitation? Ieee Electron Device Letters. 26: 773-775. DOI: 10.1109/Led.2005.856015 |
0.419 |
|
2005 |
Ranjan R, Pey KL, Tung CH, Tang LJ, Ang DS, Groeseneken G, Gendt SD, Bera LK. Breakdown-induced thermochemical reactions in HfO2 high-κ/polycrystalline silicon gate stacks Applied Physics Letters. 87: 242907. DOI: 10.1063/1.2146071 |
0.387 |
|
2005 |
Houssa M, Aoulaiche M, Elshocht SV, Gendt SD, Groeseneken G, Heyns MM. Impact of Hf content on negative bias temperature instabilities in HfSiON-based gate stacks Applied Physics Letters. 86: 173509. DOI: 10.1063/1.1915513 |
0.399 |
|
2005 |
Kaczer B, Arkhipov V, Degraeve R, Collaert N, Groeseneken G, Goodwin M. Temperature dependence of the negative bias temperature instability in the framework of dispersive transport Applied Physics Letters. 86: 143506. DOI: 10.1063/1.1897046 |
0.408 |
|
2005 |
Houssa M, Aoulaiche M, Gendt SD, Groeseneken G, Heyns MM, Stesmans A. Reaction-dispersive proton transport model for negative bias temperature instabilities Applied Physics Letters. 86: 93506. DOI: 10.1063/1.1871357 |
0.412 |
|
2005 |
Elattari B, Coppens P, Van Den Bosch G, Moens P, Groeseneken G. Breakdown and hot carrier injection in deep trench isolation structures Solid-State Electronics. 49: 1370-1375. DOI: 10.1016/J.Sse.2005.06.003 |
0.397 |
|
2005 |
Kauerauf T, Govoreanu B, Degraeve R, Groeseneken G, Maes H. Scaling CMOS: Finding the gate stack with the lowest leakage current Solid-State Electronics. 49: 695-701. DOI: 10.1016/J.Sse.2005.01.018 |
0.372 |
|
2005 |
Vassilev V, Vashchenko V, Jansen P, Groeseneken G, Terbeek M. ESD circuit model based protection network optimisation for extended-voltage NMOS drivers Microelectronics Reliability. 45: 1430-1435. DOI: 10.1016/J.Microrel.2005.07.037 |
0.35 |
|
2005 |
Stadler W, Esmark K, Reynders K, Zubeidat M, Graf M, Wilkening W, Willemen J, Qu N, Mettler S, Etherton M, Nuernbergk D, Wolf H, Gieser H, Soppa W, Heyn VD, ... ... Groeseneken G, et al. Test circuits for fast and reliable assessment of CDM robustness of I/O stages Microelectronics Reliability. 45: 269-277. DOI: 10.1016/J.Microrel.2004.05.014 |
0.305 |
|
2005 |
Vassilev VK, Thijs S, Segura PL, Wambacq P, Leroux P, Groeseneken G, Natarajan MI, Maes HE, Steyaert M. ESD–RF co-design methodology for the state of the art RF-CMOS blocks Microelectronics Reliability. 45: 255-268. DOI: 10.1016/J.Microrel.2004.05.013 |
0.359 |
|
2005 |
Trojman L, Ragnarsson LA, Pantisano L, Lujan GS, Houssa M, Schram T, Cubaynes F, Schaekers M, Van Ammel A, Groeseneken G, De Gendt S, Heyns M. Effect of the dielectric thickness and the metal deposition technique on the mobility for HfO2/TaN NMOS devices Microelectronic Engineering. 80: 86-89. DOI: 10.1016/J.Mee.2005.04.107 |
0.402 |
|
2005 |
Degraeve R, Kaczer B, Roussel P, Groeseneken G. On the trap generation rate in ultrathin SiON under constant voltage stress Microelectronic Engineering. 80: 440-443. DOI: 10.1016/J.Mee.2005.04.103 |
0.375 |
|
2005 |
Ranjan R, Pey KL, Tung CH, Tang LJ, Elattari B, Kauerauf T, Groeseneken G, Degraeve R, Ang DS, Bera LK. HfO 2 /spacer-interface breakdown in HfO 2 high-κ/poly-silicon gate stacks Microelectronic Engineering. 80: 370-373. DOI: 10.1016/J.Mee.2005.04.093 |
0.43 |
|
2005 |
Goux L, Xu Z, Kaczer B, Groeseneken G, Wouters DJ. Deposition of 60 nm thin Sr0.8Bi2.2Ta2O9 layers for application in scaled 1T1C and 1T FeRAM devices Microelectronic Engineering. 80: 162-165. DOI: 10.1016/J.Mee.2005.04.061 |
0.331 |
|
2005 |
Crupi F, Pace C, Cocorullo G, Groeseneken G, Aoulaiche M, Houssa M. Positive Bias Temperature Instability in nMOSFETs with ultra-thin Hf-silicate gate dielectrics Microelectronic Engineering. 80: 130-133. DOI: 10.1016/J.Mee.2005.04.055 |
0.428 |
|
2005 |
Kaczer B, Arkhipov V, Jurczak M, Groeseneken G. Negative bias temperature instability (NBTI) in SiO2 and SiON gate dielectrics understood through disorder-controlled kinetics Microelectronic Engineering. 80: 122-125. DOI: 10.1016/J.Mee.2005.04.054 |
0.321 |
|
2005 |
Zhao CZ, Zahid MB, Zhang JF, Groeseneken G, Degraeve R, Gendt SD. Properties and dynamic behavior of electron traps in HfO2/SiO2 stacks Microelectronic Engineering. 80: 366-369. DOI: 10.1016/J.Mee.2005.04.028 |
0.341 |
|
2005 |
Aoulaiche M, Houssa M, graeve RD, Groeseneken G, Gendt SD, Heyns MM. Contribution of fast and slow states to Negative Bias Temperature Instabilities in HfxSi(1-x )ON/TaN based pMOSFETs Microelectronic Engineering. 80: 134-137. DOI: 10.1016/J.Mee.2005.04.010 |
0.353 |
|
2004 |
Houssa M, Gendt SD, Groeseneken G, Heyns MM. Negative bias temperature instabilities in SiO2/HfO2-Based hole channel FETs Journal of the Electrochemical Society. 151. DOI: 10.1149/1.1815154 |
0.466 |
|
2004 |
Moens P, bosch GVd, Keukeleire CD, Degraeve R, Tack M, Groeseneken G. Hot hole degradation effects in lateral nDMOS transistors Ieee Transactions On Electron Devices. 51: 1704-1710. DOI: 10.1109/Ted.2004.834913 |
0.38 |
|
2004 |
Degraeve R, Schuler F, Kaczer B, Lorenzini M, Wellekens D, Hendrickx P, Duuren Mv, Dormans GJM, Houdt JV, Haspeslagh L, Groeseneken G, Tempel G. Analytical percolation model for predicting anomalous charge loss in flash memories Ieee Transactions On Electron Devices. 51: 1392-1400. DOI: 10.1109/Ted.2004.833583 |
0.343 |
|
2004 |
Zhao CZ, Zhang JF, Groeseneken G, Degraeve R. Hole-traps in silicon dioxides. Part II. Generation mechanism Ieee Transactions On Electron Devices. 51: 1274-1280. DOI: 10.1109/Ted.2004.831389 |
0.389 |
|
2004 |
Zhang JF, Zhao CZ, Chen AH, Groeseneken G, Degraeve R. Hole traps in silicon dioxides. Part I. Properties Ieee Transactions On Electron Devices. 51: 1267-1273. DOI: 10.1109/Ted.2004.831379 |
0.386 |
|
2004 |
Moens P, bosch GVd, Groeseneken G. Hot-carrier degradation phenomena in lateral and vertical DMOS transistors Ieee Transactions On Electron Devices. 51: 623-628. DOI: 10.1109/Ted.2004.824688 |
0.445 |
|
2004 |
Xu Z, Pantisano L, Kerber A, Degraeve R, Cartier E, Gendt SD, Heyns M, Groeseneken G. A study of relaxation current in high-/spl kappa/ dielectric stacks Ieee Transactions On Electron Devices. 51: 402-408. DOI: 10.1109/Ted.2003.822343 |
0.411 |
|
2004 |
Pantisano L, Lucci L, Cartier E, Kerber A, Groeseneken G, Green M, Selmi L. Impact of band structure on charge trapping in thin SiO/sub 2//Al/sub 2/O/sub 3//poly-Si gate stacks Ieee Electron Device Letters. 25: 320-322. DOI: 10.1109/Led.2004.826534 |
0.333 |
|
2004 |
Zhang JF, Sii HK, Chen AH, Zhao CZ, Uren MJ, Groeseneken G, Degraeve R. Hole trap generation in gate dielectric during substrate hole injection Semiconductor Science and Technology. 19. DOI: 10.1088/0268-1242/19/1/L01 |
0.392 |
|
2004 |
Houssa M, Gendt SD, Autran JL, Groeseneken G, Heyns MM. Role of hydrogen on negative bias temperature instability in HfO2-based hole channel field-effect transistors Applied Physics Letters. 85: 2101-2103. DOI: 10.1063/1.1784549 |
0.426 |
|
2004 |
Xu Z, Kaczer B, Johnson J, Wouters D, Groeseneken G. Charge trapping in metal-ferroelectric-insulator-semiconductor structure with SrBi2Ta2O9∕Al2O3∕SiO2 stack Journal of Applied Physics. 96: 1614-1619. DOI: 10.1063/1.1766085 |
0.416 |
|
2004 |
Kerber A, Cartier E, Pantisano L, Degraeve R, Groeseneken G, Maes HE, Schwalke U. Charge trapping in SiO 2 /HfO 2 gate dielectrics: comparison between charge-pumping and pulsed I D -V G Microelectronic Engineering. 72: 267-272. DOI: 10.1016/J.Mee.2004.01.002 |
0.348 |
|
2004 |
Kaczer B, Keersgieter AD, Degraeve R, Crupi F, Groeseneken G. Modeling pFET currents after soft breakdown at different gate locations Microelectronic Engineering. 72: 125-129. DOI: 10.1016/J.Mee.2003.12.027 |
0.418 |
|
2004 |
O'Connor R, Degraeve R, Kaczer B, Veloso A, Hughes G, Groeseneken G. Weibull slope and voltage acceleration of ultra-thin (1.1-1.45 nm EOT) oxynitrides Microelectronic Engineering. 72: 61-65. DOI: 10.1016/J.Mee.2003.12.017 |
0.39 |
|
2004 |
Willemen J, Andreini A, Heyn VD, Esmark K, Etherton M, Gieser H, Groeseneken G, Mettler S, Morena E, Qu N, Soppa W, Stadler W, Stella R, Wilkening W, Wolf H, et al. Characterization and modeling of transient device behavior under CDM ESD stress Journal of Electrostatics. 62: 133-153. DOI: 10.1016/J.Elstat.2004.04.007 |
0.401 |
|
2003 |
Xu Z, Kaczer B, Degraeve R, Gendt SD, Heyns M, Groeseneken G. Electrical properties of Al2O3/ZrO2/Al2O3 gate stack in p-substrate metal oxide semiconductor devices Journal of the Electrochemical Society. 150. DOI: 10.1149/1.1566022 |
0.452 |
|
2003 |
Das J, Degraeve R, Kaczer B, Boeve H, Vanhelmont F, Groeseneken G, Borghs G, Boeck JD. Degradation and breakdown of plasma oxidized magnetic tunnel junctions: single trap creation in Al/sub 2/O/sub 3/ tunnel barriers Ieee Transactions On Magnetics. 39: 2815-2817. DOI: 10.1109/Tmag.2003.815721 |
0.368 |
|
2003 |
Kerber A, Cartier E, Degraeve R, Roussel PJ, Pantisano L, Kauerauf T, Groeseneken G, Maes HE, Schwalke U. Charge trapping and dielectric reliability of SiO/sub 2/-Al/sub 2/O/sub 3/ gate stacks with TiN electrodes Ieee Transactions On Electron Devices. 50: 1261-1269. DOI: 10.1109/Ted.2003.813486 |
0.366 |
|
2003 |
Crupi F, Kaczer B, Degraeve R, Keersgieter AD, Groeseneken G. A comparative study of the oxide breakdown in short-channel nMOSFETs and pMOSFETs stressed in inversion and in accumulation regimes Ieee Transactions On Device and Materials Reliability. 3: 8-13. DOI: 10.1109/Tdmr.2003.809447 |
0.401 |
|
2003 |
Kaczer B, Groeseneken G. Potential vulnerability of dynamic CMOS logic to soft gate oxide breakdown Ieee Electron Device Letters. 24: 742-744. DOI: 10.1109/Led.2003.819912 |
0.325 |
|
2003 |
Westlinder J, Schram T, Pantisano L, Cartier E, Kerber A, Lujan GS, Olsson J, Groeseneken G. On the thermal stability of atomic layer deposited TiN as gate electrode in MOS devices Ieee Electron Device Letters. 24: 550-552. DOI: 10.1109/Led.2003.816579 |
0.401 |
|
2003 |
Crupi F, Kaczer B, Groeseneken G, Keersgieter AD. New insights into the relation between channel hot carrier degradation and oxide breakdown short channel nMOSFETs Ieee Electron Device Letters. 24: 278-280. DOI: 10.1109/Led.2003.812146 |
0.373 |
|
2003 |
Kerber A, Cartier E, Pantisano L, Degraeve R, Kauerauf T, Kim Y, Hou A, Groeseneken G, Maes HE, Schwalke U. Origin of the threshold voltage instability in SiO 2 /HfO 2 dual layer gate dielectrics Ieee Electron Device Letters. 24: 87-89. DOI: 10.1109/Led.2003.808844 |
0.387 |
|
2003 |
Zhang WD, Zhang JF, Lalor MJ, Burton DR, Groeseneken G, Degraeve R. Effects of detrapping on electron traps generated in gate oxides Semiconductor Science and Technology. 18: 174-182. DOI: 10.1088/0268-1242/18/2/320 |
0.41 |
|
2003 |
Kerber A, Cartier E, Groeseneken G, Maes H, Schwalke U. Stress induced charge trapping effects in SiO2/Al2O3 gate stacks with TiN electrodes Journal of Applied Physics. 94: 6627-6630. DOI: 10.1063/1.1621718 |
0.437 |
|
2003 |
Das J, Degraeve R, Groeseneken G, Stein S, Kohlstedt H, Borghs G, Boeck JD. Statistical model for prebreakdown current jumps and breakdown caused by single traps in magnetic tunnel junctions Journal of Applied Physics. 94: 2749-2751. DOI: 10.1063/1.1592300 |
0.375 |
|
2003 |
Zhang JF, Zhao CZ, Groeseneken G, Degraeve R. Analysis of the kinetics for interface state generation following hole injection Journal of Applied Physics. 93: 6107-6116. DOI: 10.1063/1.1567059 |
0.378 |
|
2003 |
Vassilev V, Jenei S, Groeseneken G, Venegas R, Thijs S, Heyn VD, Natarajan M, Steyaert M, Maes HE. High frequency characterization and modelling of the parasitic RC performance of two terminal ESD CMOS protection devices Microelectronics Reliability. 43: 1011-1020. DOI: 10.1016/S0026-2714(03)00129-X |
0.368 |
|
2002 |
Degraeve R, Cartier E, Kauerauf T, Carter R, Pantisano L, Kerber A, Groeseneken G. On the Electrical Characterization of High- ĸ Dielectrics Mrs Bulletin. 27: 222-225. DOI: 10.1557/Mrs2002.75 |
0.405 |
|
2002 |
Caymax M, Gendt SD, Vandervorst W, Heyns M, Bender H, Carter R, Conard T, Degraeve R, Groeseneken G, Kubicek S, Lujan G, Pantisano L, Petry J, Rohr E, Elshocht SV, et al. Issues, achievements and challenges towards integration of high-k dielectrics International Journal of High Speed Electronics and Systems. 12: 295-304. DOI: 10.1142/S0129156402001253 |
0.396 |
|
2002 |
Kauerauf T, Degraeve R, Cartier E, Soens C, Groeseneken G. Low Weibull slope of breakdown distributions in high-k layers Ieee Electron Device Letters. 23: 215-217. DOI: 10.1109/55.992843 |
0.378 |
|
2002 |
Kaczer B, Degraeve R, Keersgieter AD, Mieroop KVd, Simons V, Groeseneken G. Consistent model for short-channel nMOSFET after hard gate oxide breakdown Ieee Transactions On Electron Devices. 49: 507-513. DOI: 10.1109/16.987123 |
0.453 |
|
2002 |
Das J, Degraeve R, Roussel P, Groeseneken G, Borghs G, Boeck JD. Area scaling and voltage dependence of time-to-breakdown in magnetic tunnel junctions Journal of Applied Physics. 91: 7712-7714. DOI: 10.1063/1.1447180 |
0.399 |
|
2002 |
Rasras M, Wolf ID, Groeseneken G, Degraeve R, Maes HE. Origin of substrate hole current after gate oxide breakdown Journal of Applied Physics. 91: 2155-2160. DOI: 10.1063/1.1434550 |
0.42 |
|
2002 |
Zhang JF, Zhao CZ, Sii HK, Groeseneken G, Degraeve R, Ellis JN, Beech CD. Relation between hole traps and hydrogenous species in silicon dioxides Solid-State Electronics. 46: 1839-1847. DOI: 10.1016/S0038-1101(02)00157-0 |
0.303 |
|
2002 |
Kaczer B, Degraeve R, Rasras M, Keersgieter AD, Mieroop KVd, Groeseneken G. Analysis and modeling of a digital CMOS circuit operation and reliability after gate oxide breakdown: a case study Microelectronics Reliability. 42: 555-564. DOI: 10.1016/S0026-2714(02)00026-4 |
0.367 |
|
2001 |
Degraeve R, Kaczer B, Keersgieter AD, Groeseneken G. Relation between breakdown mode and location in short-channel nMOSFETs and its impact on reliability specifications Ieee Transactions On Device and Materials Reliability. 1: 163-169. DOI: 10.1109/7298.974832 |
0.331 |
|
2001 |
Roussel PJ, Degraeve R, Bosch GVVd, Kaczer B, Groeseneken G. Accurate and robust noise-based trigger algorithm for soft breakdown detection in ultrathin gate dielectrics Ieee Transactions On Device and Materials Reliability. 1: 120-127. DOI: 10.1109/7298.956706 |
0.365 |
|
2001 |
Zhang JF, Sii HK, Groeseneken G, Degraeve R. Hole trapping and trap generation in the gate silicon dioxide Ieee Transactions On Electron Devices. 48: 1127-1135. DOI: 10.1109/16.925238 |
0.322 |
|
2001 |
Crupi F, Iannaccone G, Crupi I, Degraeve R, Groeseneken G, Maes HE. Characterization of soft breakdown in thin oxide NMOSFETs based on the analysis of the substrate current Ieee Transactions On Electron Devices. 48: 1109-1113. DOI: 10.1109/16.925235 |
0.459 |
|
2001 |
Zhang W, Zhang J, Uren MJ, Groeseneken G, Degraeve R, Lalor M, Burton D. On the interface states generated under different stress conditions Applied Physics Letters. 79: 3092-3094. DOI: 10.1063/1.1416168 |
0.352 |
|
2001 |
Zhang JF, Zhao CZ, Groeseneken G, Degraeve R, Ellis JN, Beech CD. Hydrogen induced positive charge generation in gate oxides Journal of Applied Physics. 90: 1911-1919. DOI: 10.1063/1.1384860 |
0.392 |
|
2001 |
Zhao CZ, Zhang JF, Groeseneken G, Degraeve R, Ellis JN, Beech CD. Interface state generation after hole injection Journal of Applied Physics. 90: 328-336. DOI: 10.1063/1.1377305 |
0.351 |
|
2001 |
Das J, Degraeve R, Boeve H, Duchamps P, Lagae L, Groeseneken G, Borghs G, Boeck JD. Degradation and time dependent breakdown of stressed ferromagnetic tunnel junctions Journal of Applied Physics. 89: 7350-7352. DOI: 10.1063/1.1359227 |
0.403 |
|
2001 |
Rasras MS, Wolf ID, Groeseneken G, Maes HE. Spectroscopic identification of light emitted from defects in silicon devices Journal of Applied Physics. 89: 249-258. DOI: 10.1063/1.1322595 |
0.373 |
|
2001 |
Zhao C, Zhang JF, Groeseneken G, Degraeve R, Ellis JN, Beech CD. Generation of mobile hydrogenous ions in gate oxide and their potential applications Electronics Letters. 37: 716-717. DOI: 10.1049/El:20010475 |
0.345 |
|
2001 |
Das J, Degraeve R, Boeve H, Duchamps P, Lagae L, Groeseneken G, Borghs G, Boeck JD. Tunnel barrier properties of stressed ferromagnetic tunnel junctions Electronics Letters. 37: 356-358. DOI: 10.1049/El:20010262 |
0.348 |
|
2001 |
Zhang W, Zhang J, Uren M, Groeseneken G, Degraeve R, Lalor M, Burton D. Dependence of energy distributions of interface states on stress conditions Microelectronic Engineering. 59: 95-99. DOI: 10.1016/S0167-9317(01)00678-5 |
0.301 |
|
2001 |
Kaczer B, Degraeve R, Keersgieter AD, Rasras M, Groeseneken G. Explanation of nMOSFET substrate current after hard gate oxide breakdown Microelectronic Engineering. 59: 155-160. DOI: 10.1016/S0167-9317(01)00659-1 |
0.438 |
|
2001 |
Zhang W, Zhang J, Lalor M, Burton D, Groeseneken G, Degraeve R. On the mechanism of electron trap generation in gate oxides Microelectronic Engineering. 59: 89-94. DOI: 10.1016/S0167-9317(01)00652-9 |
0.353 |
|
2001 |
Bock K, Keppens B, Heyn VD, Groeseneken G, Ching LY, Naem A. Influence of gate length on ESD-performance for deep submicron CMOS technology Microelectronics Reliability. 41: 375-383. DOI: 10.1016/S0026-2714(00)00243-2 |
0.389 |
|
2001 |
Dreesen R, Croes K, Manca J, De Ceuninck W, De Schepper L, Pergoot A, Groeseneken G. New degradation model and lifetime extrapolation technique for lightly doped drain nMOSFETs under hot-carrier degradation Microelectronics Reliability. 41: 437-443. DOI: 10.1016/S0026-2714(00)00225-0 |
0.328 |
|
2000 |
Kaczer B, Degraeve R, Pangon N, Groeseneken G. The influence of elevated temperature on degradation and lifetime prediction of thin silicon-dioxide films Ieee Transactions On Electron Devices. 47: 1514-1521. DOI: 10.1109/16.848301 |
0.393 |
|
2000 |
Degraeve R, Kaczer B, Groeseneken G. Reliability: a possible showstopper for oxide thickness scaling? Semiconductor Science and Technology. 15: 436-444. DOI: 10.1088/0268-1242/15/5/302 |
0.4 |
|
2000 |
Zhang J, Sii HK, Degraeve R, Groeseneken G. Mechanism for the generation of interface state precursors Journal of Applied Physics. 87: 2967-2977. DOI: 10.1063/1.372285 |
0.367 |
|
2000 |
Degraeve R, Kaczer B, Groeseneken G. Ultra-thin oxide reliability: searching for the thickness scaling limit Microelectronics Reliability. 40: 697-701. DOI: 10.1016/S0026-2714(99)00281-4 |
0.369 |
|
1999 |
Groeseneken G, Degraeve R, Kaczer B, Maes H. Impact of temperature and breakdown statistics on reliability predictions for ultrathin oxides Mrs Proceedings. 592: 295-306. DOI: 10.1557/Proc-592-295 |
0.361 |
|
1999 |
Heyns MM, Bearda T, Cornelissen I, Gendt SD, Degraeve R, Groeseneken G, Kenens C, Knotter DM, Loewenstein LM, Mertens PW, Mertens S, Meuris M, Nigam T, Schaekers M, Teerlinck I, et al. Cost-effective cleaning and high-quality thin gate oxides Ibm Journal of Research and Development. 43: 339-350. DOI: 10.1147/Rd.433.0339 |
0.365 |
|
1999 |
Crupi F, Degraeve R, Groeseneken G, Nigam T, Maes H. Investigation and Comparison of the Noise in the Gate and Substrate Current after Soft-Breakdown Japanese Journal of Applied Physics. 38: 2219-2222. DOI: 10.1143/Jjap.38.2219 |
0.371 |
|
1999 |
Groeseneken G, Degraeve R, Nigam T, Bosch GVd, Maes HE. Hot carrier degradation and time-dependent dielectric breakdown in oxides Microelectronic Engineering. 49: 27-40. DOI: 10.1016/S0167-9317(99)00427-X |
0.387 |
|
1999 |
Sii HK, Zhang JG, Degraeve R, Groeseneken G. Relation between hydrogen and the generation of interface state precursors Microelectronic Engineering. 48: 135-138. DOI: 10.1016/S0167-9317(99)00355-X |
0.392 |
|
1999 |
Kaczer B, Degraeve R, Pangon N, Nigam T, Groeseneken G. Investigation of temperature acceleration of thin oxide time-to-breakdown Microelectronic Engineering. 48: 47-50. DOI: 10.1016/S0167-9317(99)00335-4 |
0.335 |
|
1999 |
Russ C, Bock K, Rasras M, Wolf ID, Groeseneken G, Maes HE. Non-uniform triggering of gg-nMOSt investigated by combined emission microscopy and transmission line pulsing Microelectronics Reliability. 39: 1551-1561. DOI: 10.1016/S0026-2714(99)00072-4 |
0.36 |
|
1998 |
Crupi F, Degraeve R, Groeseneken G, Nigam T, Maes H. Characteristics and Correlated Fluctuations of the Gate and Substrate Current after Oxide Soft-Breakdown The Japan Society of Applied Physics. 1998: 144-145. DOI: 10.7567/Ssdm.1998.B-3-5 |
0.361 |
|
1998 |
Montanari D, Houdt JV, Groeseneken G, Maes HE. Novel level-identifying circuit for flash multilevel memories Ieee Journal of Solid-State Circuits. 33: 1090-1095. DOI: 10.1109/4.701269 |
0.308 |
|
1998 |
Bock K, Russ C, Badenes G, Groeseneken G, Deferm L. Influence of well profile and gate length on the ESD performance of a fully silicided 0.25 /spl mu/m CMOS technology Ieee Transactions On Components, Packaging, and Manufacturing Technology: Part C. 21: 286-294. DOI: 10.1109/3476.739178 |
0.404 |
|
1998 |
Crupi F, Degraeve R, Groeseneken G, Nigam T, Maes HE. On the properties of the gate and substrate current after soft breakdown in ultrathin oxide layers Ieee Transactions On Electron Devices. 45: 2329-2334. DOI: 10.1109/16.726650 |
0.453 |
|
1998 |
Degraeve R, Groeseneken G, Bellens R, Ogier JL, Depas M, Roussel PJ, Maes HE. New insights in the relation between electron trap generation and the statistical properties of oxide breakdown Ieee Transactions On Electron Devices. 45: 904-911. DOI: 10.1109/16.662800 |
0.367 |
|
1998 |
Degraeve R, Ogier JL, Bellens R, Roussel PJ, Groeseneken G, Maes HE. A new model for the field dependence of intrinsic and extrinsic time-dependent dielectric breakdown Ieee Transactions On Electron Devices. 45: 472-481. DOI: 10.1109/16.658683 |
0.303 |
|
1998 |
Zhang J, Al-kofahi IS, Groeseneken G. Behavior Of Hot Hole Stressed Sio2/Si Interface At Elevated Temperature Journal of Applied Physics. 83: 843-850. DOI: 10.1063/1.366766 |
0.394 |
|
1998 |
Russ C, Verhaege K, Bock K, Roussel PJ, Groeseneken G, Maes HE. A compact model for the grounded-gate nMOS transistor behaviour under CDM ESD stress☆ Journal of Electrostatics. 42: 351-381. DOI: 10.1016/S0304-3886(97)00162-9 |
0.405 |
|
1998 |
Maes HE, Groeseneken G, Degraeve R, Blauwe JD, bosch GVd. Assessment of oxide reliability and hot carrier degradation in CMOS technology Microelectronic Engineering. 40: 147-166. DOI: 10.1016/S0167-9317(98)00267-6 |
0.379 |
|
1998 |
Groeseneken G, Maes HE. Basics and applications of charge pumping in submicron MOSFETs© 1997 IEEE. Reprinted, with permission, from Proc. 1997 21st International Conference on Microelectronics, Nis, Yugoslavia, 14–17 September 1997, Vol. 2, pp. 581–589. Microelectronics Reliability. 38: 1379-1389. DOI: 10.1016/S0026-2714(98)00049-3 |
0.368 |
|
1997 |
Nigam T, Depas M, Degraeve R, Heyns MM, Groeseneken G. Gate voltage dependence of reliability for ultra-thin oxides The Japan Society of Applied Physics. 1997: 90-91. DOI: 10.7567/Ssdm.1997.A-3-2 |
0.398 |
|
1997 |
Depas M, Degraeve R, Nigam T, Groeseneken G, Heyns M. Reliability of ultra-thin gate oxide below 3 nm in the direct tunneling regime Japanese Journal of Applied Physics. 36: 1602-1608. DOI: 10.1143/Jjap.36.1602 |
0.406 |
|
1997 |
Montanari D, Houdt JV, Wellekens D, Vanhorebeek G, Haspeslagh L, Deferm L, Groeseneken G, Maes HE. Voltage variant source side injection for multilevel charge storage in flash EEPROM Ieee Transactions On Components, Packaging, and Manufacturing Technology: Part A. 20: 196-202. DOI: 10.1109/95.588574 |
0.375 |
|
1997 |
Verhaege K, Russ C, Luchies J-, Groeseneken G, Kuper FG. Grounded-gate nMOS transistor behavior under CDM ESD stress conditions Ieee Transactions On Electron Devices. 44: 1972-1980. DOI: 10.1109/16.641368 |
0.34 |
|
1997 |
Degraeve R, Groeseneken G, Wolf ID, Maes HE. The effect of externally imposed mechanical stress on the hot-carrier-induced degradation of deep-sub micron nMOSFET's Ieee Transactions On Electron Devices. 44: 943-950. DOI: 10.1109/16.585549 |
0.341 |
|
1997 |
Al-Kofahi IS, Zhang J, Groeseneken G. Continuing degradation of the SiO2/Si interface after hot hole stress Journal of Applied Physics. 81: 2686-2692. DOI: 10.1063/1.363969 |
0.433 |
|
1997 |
Blauwe JD, Wellekens D, Houdt JV, Degraeve R, Haspeslagh L, Groeseneken G, Maes HE. Impact of tunnel-oxide nitridation on endurance and read-disturb characteristics of Flash E2PROM devices Microelectronic Engineering. 36: 301-304. DOI: 10.1016/S0167-9317(97)00067-1 |
0.461 |
|
1997 |
Al-Kofahi IS, Zhang J, Groeseneken G. Generation and annealing of hot hole induced interface states Microelectronic Engineering. 36: 227-230. DOI: 10.1016/S0167-9317(97)00054-3 |
0.322 |
|
1997 |
Rasras M, Wolf Id, Groeseneken G, Maes HE. Modification and application of an emission microscope for continuous wavelength spectroscopy Microelectronics Reliability. 37: 1595-1598. DOI: 10.1016/S0026-2714(97)00118-2 |
0.316 |
|
1997 |
Dreesen R, Ceuninck WD, Schepper LD, Groeseneken G. A high resolution method for measuring hot carrier degradation in matched transistor pairs Microelectronics Reliability. 37: 1533-1536. DOI: 10.1016/S0026-2714(97)00102-9 |
0.317 |
|
1996 |
Depas M, Degraeve R, Nigam T, Groeseneken G, Heyns M. Reliability of Ultra-Thin Gate Oxides Below 3 nm in the Direct Tunneling Regime The Japan Society of Applied Physics. 533-535. DOI: 10.7567/Ssdm.1996.B-5-4 |
0.356 |
|
1996 |
Bellens R, Bosch GVd, Habas P, Mieville J-, Badenes G, Clerix A, Groeseneken G, Deferm L, Maes HE. Performance and reliability aspects of FOND: a new deep submicron CMOS device concept Ieee Transactions On Electron Devices. 43: 1407-1415. DOI: 10.1109/16.535326 |
0.416 |
|
1996 |
Saks NS, Groeseneken G, DeWolf I. Characterization of individual interface traps with charge pumping Applied Physics Letters. 68: 1383-1385. DOI: 10.1063/1.116087 |
0.352 |
|
1996 |
Russ C, Verhaege K, Bock K, Groeseneken G, Maes HE. Simulation study for the CDM ESD behaviour of the grounded-gate NMOS Microelectronics Reliability. 36: 1739-1742. DOI: 10.1016/0026-2714(96)00187-4 |
0.329 |
|
1996 |
Degreave R, Roussel PH, Groeseneken G, Maes HE. A new analytic model for the description of the intrinsic oxide breakdown statistics of ultra-thin oxides Microelectronics Reliability. 36: 1639-1642. DOI: 10.1016/0026-2714(96)00163-1 |
0.338 |
|
1995 |
Houdt JFV, Wellekens D, Groeseneken G, Maes HE. Investigation of the soft-write mechanism in source-side injection flash EEPROM devices Ieee Electron Device Letters. 16: 181-183. DOI: 10.1109/55.382233 |
0.333 |
|
1995 |
Wellekens D, Houdt JV, Faraone L, Groeseneken G, Maes HE. Write/erase degradation in source side injection flash EEPROM's: characterization techniques and wearout mechanisms Ieee Transactions On Electron Devices. 42: 1992-1998. DOI: 10.1109/16.469408 |
0.377 |
|
1995 |
Houdt JFV, Groeseneken G, Maes HE. An analytical model for the optimization of source-side injection flash EEPROM devices Ieee Transactions On Electron Devices. 42: 1314-1320. DOI: 10.1109/16.391214 |
0.42 |
|
1995 |
Groeseneken G, Bellens R, Bosch GVd, Maes HE. Hot-carrier degradation in submicrometre MOSFETs: from uniform injection towards the real operating conditions Semiconductor Science and Technology. 10: 1208-1220. DOI: 10.1088/0268-1242/10/9/002 |
0.337 |
|
1995 |
Wouters DJ, Willems G, Groeseneken G, Maes HE, Brooks K. Elements of the leakage current of high-ε ferroelectric PZT films Integrated Ferroelectrics. 7: 173-184. DOI: 10.1080/10584589508220230 |
0.338 |
|
1995 |
Degraeve R, Groeseneken G, Wolf ID, Maes HE. Oxide and interface degradation and breakdown under medium and high field injection conditions: a correlation study Microelectronic Engineering. 28: 313-316. DOI: 10.1016/0167-9317(95)00065-G |
0.363 |
|
1995 |
Habaš P, Bellens R, Groeseneken G. A model study of the hot-carrier problem in LDD and overlapped LDD MOSFETs Microelectronic Engineering. 28: 285-288. DOI: 10.1016/0167-9317(95)00060-L |
0.417 |
|
1995 |
Bellens R, Habaš P, Groeseneken G, Maes HE, Miéville JP, Bosch Gvd, Deferm L. Study of the hot-carrier degradation performance of 0.35-nm fully overlapped LDD devices Microelectronic Engineering. 28: 265-268. DOI: 10.1016/0167-9317(95)00056-E |
0.393 |
|
1995 |
Verhaege K, Groeseneken G, Colinge J, Maes HE. The ESD protection mechanisms and the related failure modes and mechanisms observed in SOI snapback nMOSFET's Microelectronics Reliability. 35: 555-566. DOI: 10.1016/0026-2714(95)93075-L |
0.346 |
|
1995 |
Wellekens D, Houdt Jv, Groeseneken G, Maes HE, Faraone L. Write/Erase Degradation and Disturb Effects in Source Side Injection Flash EEPROM Devices Quality and Reliability Engineering International. 11: 239-246. DOI: 10.1002/Qre.4680110405 |
0.418 |
|
1994 |
Houdt JV, Wellekens D, Faraone L, Haspeslagh L, Deferm L, Groeseneken G, Maes HE. A 5 V-compatible flash EEPROM cell with microsecond programming time for embedded memory applications Ieee Transactions On Components, Packaging, and Manufacturing Technology: Part A. 17: 380-389. DOI: 10.1109/95.311747 |
0.332 |
|
1994 |
Bellens R, Groeseneken G, Heremans P, Maes HE. Hot-carrier degradation behavior of N- and P-channel MOSFET's under dynamic operation conditions Ieee Transactions On Electron Devices. 41: 1421-1428. DOI: 10.1109/16.297738 |
0.364 |
|
1994 |
Bellens R, Schrijver Ed, Bosch GVd, Groeseneken G, Heremans P, Maes HE. On the hot-carrier-induced post-stress interface trap generation in n-channel MOS transistors Ieee Transactions On Electron Devices. 41: 413-419. DOI: 10.1109/16.275228 |
0.353 |
|
1994 |
bosch GVd, Groeseneken G, Maes HE, Klein RB, Saks NS. Oxide and interface degradation resulting from substrate hot‐hole injection in metal‐oxide‐semiconductor field‐effect transistors at 295 and 77 K Journal of Applied Physics. 75: 2073-2080. DOI: 10.1063/1.356311 |
0.362 |
|
1994 |
bosch GVd, Groeseneken G, Maes HE. Critical analysis of the substrate hot-hole injection technique Solid-State Electronics. 37: 393-399. DOI: 10.1016/0038-1101(94)90003-5 |
0.386 |
|
1993 |
Wolf ID, Bellens R, Groeseneken G, Maes HE. The Influence of Mechanical Stress on Hot-Carrier Degradation in Mosfet'S Mrs Proceedings. 309: 349. DOI: 10.1557/Proc-309-281 |
0.373 |
|
1993 |
Verhaege K, Groeseneken G, Colinge J-, Maes HE. Double snapback in SOI nMOSFETs and its application for SOI ESD protection Ieee Electron Device Letters. 14: 326-328. DOI: 10.1109/55.225561 |
0.33 |
|
1993 |
Bosch GVd, Groeseneken G, Maes HE. On the geometric component of charge-pumping current in MOSFETs Ieee Electron Device Letters. 14: 107-109. DOI: 10.1109/55.215126 |
0.32 |
|
1993 |
Wellekens D, Groeseneken G, Houdt JV, Maes HE. Single poly cell as the best choice for radiation-hard floating gate EEPROM technology Ieee Transactions On Nuclear Science. 40: 1619-1627. DOI: 10.1109/23.273498 |
0.335 |
|
1993 |
Houdt JV, Haspeslagh L, Wellekens D, Deferm L, Groeseneken G, Maes HE. HIM0S-a high efficiency flash E/sup 2/PROM cell for embedded memory applications Ieee Transactions On Electron Devices. 40: 2255-2263. DOI: 10.1109/16.249473 |
0.337 |
|
1993 |
bosch GVd, Groeseneken G, Maes HE. Direct and post-injection oxide and interface trap generation resulting from low-temperature hot-electron injection Journal of Applied Physics. 74: 5582-5586. DOI: 10.1063/1.354219 |
0.311 |
|
1992 |
Bellens R, Schrijver Ed, Groeseneken G, Keremans P, Maes HE. Influence of post-stress effects on the dynamic hot-carrier degradation behavior of passivated n-channel MOSFET's Ieee Electron Device Letters. 13: 357-359. DOI: 10.1109/55.192754 |
0.323 |
|
1992 |
Houdt JV, Heremans P, Deferm L, Groeseneken G, Maes HE. Analysis of the enhanced hot-electron injection in split-gate transistors useful for EEPROM applications Ieee Transactions On Electron Devices. 39: 1150-1156. DOI: 10.1109/16.129096 |
0.44 |
|
1992 |
Heremans P, Groeseneken G, Maes HE. Hole trapping during low gate bias, high drain bias hot-carrier injection in n-MOSFETs at 77 K Ieee Transactions On Electron Devices. 39: 851-857. DOI: 10.1109/16.127475 |
0.405 |
|
1992 |
Keeney S, Van Houdt J, Groeseneken G, Mathewson A. Simulation of enhanced injection split gate flash EEPROM device programming Microelectronic Engineering. 18: 253-258. DOI: 10.1016/S0167-9317(05)80006-1 |
0.384 |
|
1991 |
Maes HE, Heremans P, Bellens R, Groeseneken G. Hot carrier degradation in MOSFETs in the temperature range of 77-300 K Quality and Reliability Engineering International. 7: 307-322. DOI: 10.1002/Qre.4680070418 |
0.415 |
|
1990 |
Groeseneken G, Colinge J-, Maes HE, Alderman JC, Holt S. Temperature dependence of threshold voltage in thin-film SOI MOSFETs Ieee Electron Device Letters. 11: 329-331. DOI: 10.1109/55.57923 |
0.391 |
|
1990 |
Heremans P, Bosch GVd, Bellens R, Groeseneken G, Maes HE. Temperature dependence of the channel hot-carrier degradation of n-channel MOSFET's Ieee Transactions On Electron Devices. 37: 980-993. DOI: 10.1109/16.52433 |
0.405 |
|
1990 |
Bellens R, Heremans P, Groeseneken G, Maes HE, Weber W. The influence of the measurement setup on enhanced AC hot carrier degradation of MOSFETs Ieee Transactions On Electron Devices. 37: 310-313. DOI: 10.1109/16.43834 |
0.376 |
|
1989 |
Witters JS, Groeseneken G, Maes HE. Analysis and Modeling of On-Chip High-Voltage Generator Circuits for Use in EEPROM Circuits Ieee Journal of Solid-State Circuits. 24: 1372-1380. DOI: 10.1109/Jssc.1989.572617 |
0.342 |
|
1989 |
Bellens R, Heremans P, Groeseneken G, Maes HE. On the channel-length dependence of the hot-carrier degradation of n-channel MOSFETs Ieee Electron Device Letters. 10: 553-555. DOI: 10.1109/55.43137 |
0.328 |
|
1989 |
Witters JS, Groeseneken G, Maes HE. Degradation of Tunnel-Oxide Floating-Gate EEPROM Devices and the Correlation with High Field-Current-Induced Degradation of Thin Gate Oxides Ieee Transactions On Electron Devices. 36: 1663-1682. DOI: 10.1109/16.34229 |
0.47 |
|
1989 |
Heremans P, Witters J, Groeseneken G, Maes HE. Analysis of the Charge Pumping Technique and Its Application for the Evaluation of MOSFET Degradation Ieee Transactions On Electron Devices. 36: 1318-1335. DOI: 10.1109/16.30938 |
0.38 |
|
1988 |
Bellens R, Heremans P, Groeseneken G, Maes HE. Hot-carrier effects in n-channel MOS transistors under alternating stress conditions Ieee Electron Device Letters. 9: 232-234. DOI: 10.1109/55.700 |
0.4 |
|
1988 |
Heremans P, Bellens R, Groeseneken G, Maes HE. Consistent model for the hot-carrier degradation in n-channel and p-channel MOSFETs Ieee Transactions On Electron Devices. 35: 2194-2209. DOI: 10.1109/16.8794 |
0.389 |
|
1987 |
Heremans P, Sun Y, Groeseneken G, Maes H. Evaluation of channel hot carrier effects in n-mos transistors at 77-k with the charge pumping technique Applied Surface Science. 30: 313-318. DOI: 10.1016/0169-4332(87)90107-3 |
0.378 |
|
1986 |
Groeseneken G, Maes HE. A quantitative model for the conduction in oxides thermally grown from polycrystalline silicon Ieee Transactions On Electron Devices. 33: 1028-1042. DOI: 10.1109/T-Ed.1986.22609 |
0.439 |
|
1985 |
Maes HE, Usmani SH, Groeseneken G. Correlation between 1/f noise and interface state density at the Fermi level in field-effect transistors Journal of Applied Physics. 57: 4811-4813. DOI: 10.1063/1.335297 |
0.363 |
|
1984 |
Groeseneken G, Maes HE, Beltran N, Keersmaecker RFD. A reliable approach to charge-pumping measurements in MOS transistors Ieee Transactions On Electron Devices. 31: 42-53. DOI: 10.1109/T-Ed.1984.21472 |
0.405 |
|
1982 |
Maes H, Groeseneken G. Determination of spatial surface state density distribution in MOS and SIMOS transistors after channel hot electron injection Electronics Letters. 18: 372-374. DOI: 10.1049/El:19820255 |
0.317 |
|
Show low-probability matches. |