Li Ling, Ph.D. - Publications

Affiliations: 
2006 University of Maryland, College Park, College Park, MD 

7 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2005 Zheng L, Ling L, Hua X, Oehrlein GS, Hudson EA. Studies of film deposition in fluorocarbon plasmas employing a small gap structure Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 23: 634-642. DOI: 10.1116/1.1931680  0.624
2004 Li X, Ling L, Hua X, Oehrlein GS, Wang Y, Vasenkov AV, Kushner MJ. Properties of C 4F 8 inductively coupled plasmas. I. Studies of Ar/c-C 4F 8 magnetically confined plasmas for etching of SiO 2 Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 22: 500-510. DOI: 10.1116/1.1697482  0.635
2004 Ling L, Hua X, Li X, Oehrlein GS, Celii FG, Kirmse KHR, Jiang P, Wang Y, Anderson HM. Study of C 4F 8/CO and C 4F 8/Ar/ CO plasmas for highly selective etching of organosilicate glass over Si 3N 4 and SiC Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 22: 236-244. DOI: 10.1116/1.1638780  0.637
2004 Li X, Hua X, Ling L, Oehrlein GS, Karwacki E, Ji B. Surface chemical changes of aluminum during NF 3-based plasma processing used for in situ chamber cleaning Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 22: 158-164. DOI: 10.1116/1.1633566  0.62
2004 Ling L, Hua X, Li X, Oehrlein GS, Hudson EA, Lazzeri P, Anderle M. Investigation of surface modifications of 193 nm and 248 nm photoresist materials during low-pressure plasma etching Ieee International Conference On Plasma Science. 168.  0.531
2003 Li X, Ling L, Hua X, Fukasawa M, Oehrlein GS, Barela M, Anderson HM. Effects of Ar and O2 additives on SiO2 etching in C4F8-based plasmas Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 21: 284-293. DOI: 10.1116/1.1531140  0.593
2002 Li X, Hua X, Ling L, Oehrlein GS, Barela M, Anderson HM. Fluorocarbon-based plasma etching of Sio2: Comparison of C4F6/Ar and C4F8/Ar discharges Journal of Vacuum Science and Technology a: Vacuum, Surfaces and Films. 20: 2052-2061. DOI: 10.1116/1.1517256  0.634
Show low-probability matches.