Bodhisatwa Sadhu, Ph.D. - Publications

Affiliations: 
2012 Electrical Engineering University of Minnesota, Twin Cities, Minneapolis, MN 
Area:
Electronics and Electrical Engineering, Electricity and Magnetism Physics, Robotics Engineering

34 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2020 Sadhu B, Valdes-Garcia A, Plouchart J, Ainspan H, Gupta AK, Ferriss M, Yeck M, Sanduleanu M, Gu X, Baks CW, Liu D, Friedman D. A 250-mW 60-GHz CMOS Transceiver SoC Integrated With a Four-Element AiP Providing Broad Angular Link Coverage Ieee Journal of Solid-State Circuits. 55: 1516-1529. DOI: 10.1109/Jssc.2019.2943918  0.472
2019 Gu X, Liu D, Baks C, Tageman O, Sadhu B, Hallin J, Rexberg L, Parida P, Kwark Y, Valdes-Garcia A. Development, Implementation, and Characterization of a 64-Element Dual-Polarized Phased-Array Antenna Module for 28-GHz High-Speed Data Communications Ieee Transactions On Microwave Theory and Techniques. 67: 2975-2984. DOI: 10.1109/Tmtt.2019.2912819  0.438
2019 Sadhu B, Gu X, Valdes-Garcia A. The More (Antennas), the Merrier: A Survey of Silicon-Based mm-Wave Phased Arrays Using Multi-IC Scaling Ieee Microwave Magazine. 20: 32-50. DOI: 10.1109/Mmm.2019.2941632  0.378
2018 Sadhu B, Anand T, Reynolds SK. A Fully Decoupled LC Tank VCO Topology for Amplitude Boosted Low Phase Noise Operation Ieee Journal of Solid-State Circuits. 53: 2488-2499. DOI: 10.1109/Jssc.2018.2859787  0.499
2017 Sadhu B, Tousi Y, Hallin J, Sahl S, Reynolds SK, Renstrom O, Sjogren K, Haapalahti O, Mazor N, Bokinge B, Weibull G, Bengtsson H, Carlinger A, Westesson E, Thillberg J, et al. A 28-GHz 32-Element TRX Phased-Array IC With Concurrent Dual-Polarized Operation and Orthogonal Phase and Gain Control for 5G Communications Ieee Journal of Solid-State Circuits. 52: 3373-3391. DOI: 10.1109/Jssc.2017.2766211  0.461
2017 Sadhu B, Voinigescu SP. Introduction to the Special Section on the 2016 IEEE BCTM and IEEE CSICS Ieee Journal of Solid-State Circuits. 52: 2224-2225. DOI: 10.1109/Jssc.2017.2731178  0.388
2016 Ferriss M, Sadhu B, Rylyakov A, Ainspan H, Friedman D. 10.8 A 12-to-26GHz fractional-N PLL with dual continuous tuning LC-D/VCOs Digest of Technical Papers - Ieee International Solid-State Circuits Conference. 59: 196-198. DOI: 10.1109/ISSCC.2016.7417974  0.387
2015 Natarajan A, Valdes-Garcia A, Sadhu B, Reynolds SK, Parker BD. W-Band Dual-Polarization Phased-Array Transceiver Front-End in SiGe BiCMOS Ieee Transactions On Microwave Theory and Techniques. DOI: 10.1109/Tmtt.2015.2422691  0.511
2015 Gu X, Valdes-Garcia A, Natarajan A, Sadhu B, Liu D, Reynolds SK. W-band scalable phased arrays for imaging and communications Ieee Communications Magazine. 53: 196-204. DOI: 10.1109/Mcom.2015.7081095  0.441
2015 Sadhu B, Ferriss M, Valdes-Garcia A. A 52 GHz Frequency Synthesizer Featuring a 2nd Harmonic Extraction Technique That Preserves VCO Performance Ieee Journal of Solid-State Circuits. DOI: 10.1109/Jssc.2015.2414921  0.573
2015 Gu X, Liu D, Baks C, Sadhu B, Valdes-Garcia A. A multilayer organic package with four integrated 60GHz antennas enabling broadside and end-fire radiation for portable communication devices Proceedings - Electronic Components and Technology Conference. 2015: 1005-1009. DOI: 10.1109/ECTC.2015.7159718  0.308
2014 Sadhu B, Sturm M, Sadler BM, Harjani R. Passive switched capacitor RF front ends for spectrum sensing in cognitive radios International Journal of Antennas and Propagation. 2014. DOI: 10.1155/2014/947373  0.707
2014 Sun S, Wang F, Yaldiz S, Li X, Pileggi L, Natarajan A, Ferriss M, Plouchart JO, Sadhu B, Parker B, Valdes-Garcia A, Sanduleanu MAT, Tierno J, Friedman D. Indirect performance sensing for on-chip self-healing of analog and RF circuits Ieee Transactions On Circuits and Systems I: Regular Papers. 61: 2243-2252. DOI: 10.1109/Tcsi.2014.2333311  0.406
2014 Sadhu B, Ferriss M, Valdes-Garcia A. A 46.4-58.1 GHz frequency synthesizer featuring a 2nd harmonic extraction technique that preserves VCO performance Digest of Papers - Ieee Radio Frequency Integrated Circuits Symposium. 173-176. DOI: 10.1109/RFIC.2014.6851689  0.488
2014 Sadhu B, Sturm M, Sadler BM, Harjani R. Building an on-chip spectrum sensor for cognitive radios Ieee Communications Magazine. 52: 92-100. DOI: 10.1109/Mcom.2014.6807952  0.711
2013 Elbadry M, Sadhu B, Qiu JX, Harjani R. Dual-channel injection-locked quadrature lo generation for a 4-GHz instantaneous bandwidth receiver at 21-GHz center frequency Ieee Transactions On Microwave Theory and Techniques. 61: 1186-1199. DOI: 10.1109/TMTT.2013.2241784  0.633
2013 Plouchart JO, Ferriss MA, Natarajan AS, Valdes-Garcia A, Sadhu B, Rylyakov A, Parker BD, Beakes M, Babakhani A, Yaldiz S, Pileggi L, Harjani R, Reynolds S, Tierno JA, Friedman D. A 23.5 GHz PLL with an adaptively biased VCO in 32 nm SOI-CMOS Ieee Transactions On Circuits and Systems I: Regular Papers. 60: 2009-2017. DOI: 10.1109/Tcsi.2013.2265961  0.675
2013 Kalia S, Patnaik SA, Sadhu B, Sturm M, Elbadry M, Harjani R. Multi-beam spatio-spectral beamforming receiver for wideband phased arrays Ieee Transactions On Circuits and Systems I: Regular Papers. 60: 2018-2029. DOI: 10.1109/Tcsi.2013.2256239  0.727
2013 Valdes-Garcia A, Natarajan A, Liu D, Sanduleanu M, Gu X, Ferriss M, Parker B, Baks C, Plouchart JO, Ainspan H, Sadhu B, Islam M, Reynolds S. A fully-integrated dual-polarization 16-element W-band phased-array transceiver in SiGe BiCMOS Digest of Papers - Ieee Radio Frequency Integrated Circuits Symposium. 375-378. DOI: 10.1109/RFIC.2013.6569608  0.383
2013 Plouchart JO, Ferriss M, Sadhu B, Sanduleanu M, Parker B, Reynolds S. A 73.9-83.5GHz synthesizer with -111dBc/Hz phase noise at 10MHz offset in a 130nm SiGe BiCMOS technology Digest of Papers - Ieee Radio Frequency Integrated Circuits Symposium. 123-126. DOI: 10.1109/RFIC.2013.6569539  0.452
2013 Sadhu B, Ferriss MA, Natarajan AS, Yaldiz S, Plouchart J, Rylyakov AV, Valdes-Garcia A, Parker BD, Babakhani A, Reynolds S, Li X, Pileggi L, Harjani R, Tierno JA, Friedman D. Correction to “A Linearized, Low Phase Noise VCO Based 25 GHz PLL With Autonomic Biasing” Ieee Journal of Solid-State Circuits. 48: 1539-1539. DOI: 10.1109/Jssc.2013.2263875  0.584
2013 Sadhu B, Ferriss MA, Natarajan AS, Yaldiz S, Plouchart JO, Rylyakov AV, Valdes-Garcia A, Parker BD, Babakhani A, Reynolds S, Li X, Pileggi L, Harjani R, Tierno JA, Friedman D. A linearized, low-phase-noise VCO-based 25-GHz PLL with autonomic biasing Ieee Journal of Solid-State Circuits. 48: 1138-1150. DOI: 10.1109/Jssc.2013.2252513  0.7
2013 Sadhu B, Sturm M, Sadler BM, Harjani R. Analysis and design of a 5 GS/s analog charge-domain FFT for an SDR front-end in 65 nm CMOS Ieee Journal of Solid-State Circuits. 48: 1199-1211. DOI: 10.1109/Jssc.2013.2250457  0.72
2013 Ferriss M, Plouchart JO, Natarajan A, Rylyakov A, Parker B, Tierno JA, Babakhani A, Yaldiz S, Valdes-Garcia A, Sadhu B, Friedman DJ. An integral path self-calibration scheme for a dual-loop PLL Ieee Journal of Solid-State Circuits. 48: 996-1008. DOI: 10.1109/Jssc.2013.2239114  0.484
2013 Gu X, Kam DG, Liu D, Piz M, Valdes-Garcia A, Natarajan A, Baks C, Sadhu B, Reynolds SK. Enhanced multilayer organic packages with embedded phased-array antennas for 60-GHz wireless communications Proceedings - Electronic Components and Technology Conference. 1650-1655. DOI: 10.1109/ECTC.2013.6575794  0.335
2012 Elbadry M, Sadhu B, Qiu J, Harjani R. Dual channel injection-locked quadrature LO generation for a 4GHz instantaneous bandwidth receiver at 21GHz center frequency Digest of Papers - Ieee Radio Frequency Integrated Circuits Symposium. 333-336. DOI: 10.1109/Tmtt.2013.2241784  0.7
2012 Sadhu B, Ferriss MA, Plouchart JO, Natarajan AS, Rylyakov AV, Valdes-Garcia A, Parker BD, Reynolds S, Babakhani A, Yaldiz S, Pileggi L, Harjani R, Tierno J, Friedman D. A 21.8-27.5GHz PLL in 32nm SOI using G m linearization to achieve -130dBc/Hz phase noise at 10MHz offset from a 22GHz carrier Digest of Papers - Ieee Radio Frequency Integrated Circuits Symposium. 75-78. DOI: 10.1109/RFIC.2012.6242235  0.63
2012 Sadhu B, Sturm M, Sadler BM, Harjani R. A 5GS/s 12.2pJ/conv. analog charge-domain FFT for a software defined radio receiver front-end in 65nm CMOS Digest of Papers - Ieee Radio Frequency Integrated Circuits Symposium. 39-42. DOI: 10.1109/RFIC.2012.6242227  0.695
2012 Patnaik S, Kalia S, Sadhu B, Sturm M, Elbadry M, Harjani R. An 8GHz multi-beam spatio-spectral beamforming receiver using an all-passive discrete time analog baseband in 65nm CMOS Proceedings of the Custom Integrated Circuits Conference. DOI: 10.1109/CICC.2012.6330615  0.67
2012 Plouchart JO, Ferriss M, Natarajan A, Valdes-Garcia A, Sadhu B, Rylyakov A, Parker B, Beakes M, Babakani A, Yaldiz S, Pileggi L, Harjani R, Reynolds S, Tierno JA, Friedman D. A 23.5GHz PLL with an adaptively biased VCO in 32nm SOI-CMOS Proceedings of the Custom Integrated Circuits Conference. DOI: 10.1109/CICC.2012.6330593  0.611
2011 Kalia S, Elbadry M, Sadhu B, Patnaik S, Qiu J, Harjani R. A simple, unified phase noise model for injection-locked oscillators Digest of Papers - Ieee Radio Frequency Integrated Circuits Symposium. DOI: 10.1109/RFIC.2011.5940707  0.606
2010 Sadhu B, Harjani R. Capacitor bank design for wide tuning range LC VCOs: 850MHz-7.1GHz (157%) Iscas 2010 - 2010 Ieee International Symposium On Circuits and Systems: Nano-Bio Circuit Fabrics and Systems. 1975-1978. DOI: 10.1109/ISCAS.2010.5537040  0.695
2009 Sadhu B, Kim J, Harjani R. A CMOS 3.3-8.4 GHz wide tuning range, low phase noise LC VCO Proceedings of the Custom Integrated Circuits Conference. 559-562. DOI: 10.1109/CICC.2009.5280768  0.703
2008 Sadhu B, Omole UE, Harjani R. Modeling and synthesis of wide-band switched-resonators for VCOs Proceedings of the Custom Integrated Circuits Conference. 225-228. DOI: 10.1109/CICC.2008.4672064  0.625
Show low-probability matches.