Chungho Lee, Ph.D. - Publications

Affiliations: 
2004 Cornell University, Ithaca, NY, United States 
Area:
Electronics and Electrical Engineering

20 high-probability publications. We are testing a new system for linking publications to authors. You can help! If you notice any inaccuracies, please sign in and mark papers as correct or incorrect matches. If you identify any major omissions or other inaccuracies in the publication list, please let us know.

Year Citation  Score
2007 Ganguly U, Lee C, Hou TH, Kan EC. Enhanced electrostatics for low-voltage operations in nanocrystal based nanotube/nanowire memories Ieee Transactions On Nanotechnology. 6: 22-28. DOI: 10.1109/Tnano.2006.888529  0.784
2006 Hou TH, Lee C, Narayanan V, Ganguly U, Kan EC. Design optimization of metal nanocrystal memory - Part II: Gate-stack engineering Ieee Transactions On Electron Devices. 53: 3103-3108. DOI: 10.1109/Ted.2006.885678  0.786
2006 Hou TH, Lee C, Narayanan V, Ganguly U, Kan EC. Design optimization of metal nanocrystal memory - Part I: Nanocrystal array engineering Ieee Transactions On Electron Devices. 53: 3095-3102. DOI: 10.1109/Ted.2006.885677  0.784
2006 Ganguly U, Narayanan V, Lee C, Hou TH, Kan EC. Three-dimensional analytical modeling of nanocrystal memory electrostatics Journal of Applied Physics. 99. DOI: 10.1063/1.2202695  0.739
2006 Kim J, Ni W, Lee C, Kan EC, Hosein ID, Song Y, Liddell C. Magnetic property characterization of magnetite (Fe 3 O 4) nanorod cores for integrated solenoid rf inductors Journal of Applied Physics. 99. DOI: 10.1063/1.2165143  0.627
2005 Ganguly U, Lee C, Kan EC. Experimental observation of non-volatile charge injection and molecular redox in fullerenes C 60 and C 70 in an EEPROM-type device Materials Research Society Symposium Proceedings. 830: 355-361. DOI: 10.1557/Proc-830-D7.5  0.712
2005 Lee C, Ganguly U, Kan EC. Characterization of number fluctuations in gate-last metal nanocrystal nonvolatile memory array beyond 90nm CMOS technology Materials Research Society Symposium Proceedings. 830: 223-228. DOI: 10.1557/Proc-830-D5.4  0.743
2005 Lee C, Hou T, Kan EC-. Nonvolatile memory with a metal nanocrystal/nitride heterogeneous floating-gate Ieee Transactions On Electron Devices. 52: 2697-2702. DOI: 10.1109/Ted.2005.859615  0.713
2005 Kim M, Shen NY-, Lee C, Kan EC. Fast and sensitive electret polymer characterization by extended floating gate MOSFET Ieee Transactions On Dielectrics and Electrical Insulation. 12: 1082-1087. DOI: 10.1109/Tdei.2005.1522200  0.659
2005 Lee C, Ganguly U, Narayanan V, Hou TH, Kim J, Kan EC. Asymmetric electric field enhancement in nanocrystal memories Ieee Electron Device Letters. 26: 879-881. DOI: 10.1109/Led.2005.859634  0.795
2005 Lee C, Meteer J, Narayanan V, Kan EC. Self-assembly of metal nanocrystals on ultrathin oxide for nonvolatile memory applications Journal of Electronic Materials. 34: 1-11. DOI: 10.1007/S11664-005-0172-8  0.695
2003 Gorur-Seetharam A, Lee C, Kan EC. The effect of gate geometry on the charging characteristics of metal nanocrystal memories Materials Research Society Symposium - Proceedings. 789: 71-76. DOI: 10.1557/Proc-789-N3.28  0.697
2003 Ganguly U, Lee C, Kan EC. Integration of fullerenes and carbon nanotubes with aggressively scaled CMOS gate stacks Materials Research Society Symposium - Proceedings. 789: 403-408. DOI: 10.1557/Proc-789-N16.3  0.684
2003 Lee C, Liu Z, Kan EC. Investigation on process dependence of self-assembled metal nanocrystals Materials Research Society Symposium - Proceedings. 737: 691-696. DOI: 10.1557/Proc-737-F8.18  0.757
2003 Shen NYM, Liu Z, Lee C, Minch BA, Kan ECC. Charge-based chemical sensors: A neuromorphic approach with chemoreceptive neuron MOS (CνMOS) transistors Ieee Transactions On Electron Devices. 50: 2171-2178. DOI: 10.1109/Ted.2003.816905  0.588
2003 Liu Z, Lee C, Narayanan V, Pei G, Kan EC. A novel quad source/drain metal nanocrystal memory device for multibit-per-cell storage Ieee Electron Device Letters. 24: 345-347. DOI: 10.1109/Led.2003.812528  0.719
2002 Liu Z, Lee C, Pei G, Narayanan V, Kan EC. Eluding metal contamination in CMOS front-end fabrication by nanocrystal formation process Materials Research Society Symposium - Proceedings. 707: 199-204. DOI: 10.1557/Proc-707-A5.3  0.747
2002 Liu Z, Lee C, Narayanan V, Pei G, Kan EC. Metal nanocrystal memories - Part II: Electrical characteristics Ieee Transactions On Electron Devices. 49: 1614-1622. DOI: 10.1109/Ted.2002.802618  0.782
2002 Liu Z, Lee C, Narayanan V, Pei G, Kan EC. Metal nanocrystal memories - Part I: Device design and fabrication Ieee Transactions On Electron Devices. 49: 1606-1613. DOI: 10.1109/Ted.2002.802617  0.773
1999 Lee C. Etch pit formation on metal films by wet chemicals Metals and Materials International. 5: 39-42.  0.301
Show low-probability matches.